Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
METHOD FOR CONTROLLING A LITHOGRAPHIC SYSTEM
Document Type and Number:
WIPO Patent Application WO/2020/187522
Kind Code:
A1
Abstract:
A lithographic system comprises a radiation source and a lithographic apparatus. The radiation source provides radiation to the lithographic apparatus. The lithographic apparatus uses the radiation for imaging a pattern onto multiple target areas on a layer of photo-resist on a semiconductor substrate. The imaging requires a pre-determined dose of radiation. The system is controlled so as to set a level of a power of the radiation in dependence on a magnitude of the pre-determined dose.

Inventors:
NOORDMAN OSCAR (NL)
KEMPEN ANTONIUS (NL)
VAN SCHOOT JAN (NL)
VAN DEN BRINK MARINUS (NL)
Application Number:
PCT/EP2020/054446
Publication Date:
September 24, 2020
Filing Date:
February 20, 2020
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ASML NETHERLANDS BV (NL)
International Classes:
G03F7/20
Domestic Patent References:
WO2014202585A22014-12-24
Foreign References:
US5986742A1999-11-16
US20130077073A12013-03-28
US9310698B22016-04-12
US9360600B22016-06-07
US9693440B12017-06-27
US8872122B22014-10-28
US20180253014A12018-09-06
US8653437B22014-02-18
US9390827B22016-07-12
Other References:
"Lithographic projection apparatus", RESEARCH DISCLOSURE, KENNETH MASON PUBLICATIONS, HAMPSHIRE, UK, GB, vol. 518, no. 4, 1 June 2007 (2007-06-01), pages 554, XP007137338, ISSN: 0374-4353
ROBINSON CHRIS ET AL: "Characterization and control of EUV scanner dose uniformity and stability", PROCEEDINGS OF SPIE; [PROCEEDINGS OF SPIE ISSN 0277-786X VOLUME 10524], SPIE, US, vol. 10583, 19 March 2018 (2018-03-19), pages 105830F - 105830F, XP060103181, ISBN: 978-1-5106-1533-5, DOI: 10.1117/12.2297419
Attorney, Agent or Firm:
SLENDERS, Petrus (NL)
Download PDF:
Claims:
CLAIMS:

1. A method of controlling a lithographic system comprising a radiation source and a lithographic apparatus, wherein:

the radiation source is configured to provide radiation to the lithographic apparatus;

the lithographic apparatus is configured to use the radiation for imaging a pattern onto multiple target areas on a layer of photo-resist on a semiconductor substrate;

the imaging requires a pre-determined dose of radiation; and

the method comprises setting a level of a power of the radiation in dependence on a magnitude of the pre-determined dose.

2. The method of claim 1, wherein:

a total length of time for the imaging onto the multiple target areas includes :

a first length of time for the imaging onto the multiple target areas in a first pass of the semiconductor substrate; and

a second length of time for the imaging onto one or more specific ones of the multiple target areas in a second pass of the semiconductor substrate, the one or more specific target areas having failed to receive the pre-determined dose in the first pass;

the method comprises:

setting the level of the power of the radiation so as to keep the total length of time substantially at, or near, a minimum value.

3. The method of claim 2, comprising:

during the first pass, recording for each respective one of the one or more specific target areas information representative of a respective location at the semiconductor substrate and a respective difference between the pre-determined dose and a dose actually received;

during the second pass, imaging onto the one or more specific ones of the multiple target areas under control of the information recorded.

4. The method of claim 2 or 3, comprising estimating in advance a number of the specific target areas in dependence on the level of the power and on the magnitude of the required dose.

5. The method of claim 1, 2, 3 or 4, wherein the radiation source is operative to supply EUV radiation and is of a laser-produced plasma type.

6. A lithographic system comprising a radiation source and a lithographic apparatus, wherein: the radiation source is configured to provide radiation to the lithographic apparatus; the lithographic apparatus is configured to use the radiation for imaging a pattern onto multiple target areas on a layer of photo-resist on a semiconductor substrate;

the imaging requires a pre-determined dose of radiation;

the system is configured to set a level of a power of the radiation in dependence on a magnitude of the pre-determined dose.

7. The lithographic system of claim 6, wherein

a total length of time for the imaging onto the multiple target areas includes:

a first length of time for the imaging onto the multiple target areas in a first pass of the semiconductor substrate; and

a second length of time for the imaging onto one or more specific ones of the multiple target areas in a second pass of the semiconductor substrate, the one or more specific target areas having failed to receive the pre-determined dose in the first pass; and

the lithographic system is configured to set the level of the power of the radiation so as to keep the total length of time substantially at, or near, a minimum value.

8. The lithographic system of claim 7, configured to:

during the first pass, record for each respective one of the one or more specific target areas information representative of a respective location at the semiconductor substrate and a respective difference between the pre-determined dose and a dose actually received; and

during the second pass, image onto the one or more specific ones of the multiple target areas under control of the information recorded.

9. The lithographic system of claim 7 or 8, configured to estimate in advance a number of the specific target areas in dependence on the level of the power and on the magnitude of the required dose.

10. The lithographic apparatus of claim 6, 7, 8 or 9, wherein the radiation source is operative to supply EUV radiation and is of a laser-produced plasma type.

11. Control software configured for use at a lithographic system that comprises a radiation source and a lithographic apparatus, wherein:

the radiation source is configured to provide radiation to the lithographic apparatus;

the lithographic apparatus is configured to use the radiation for imaging a pattern onto multiple target areas on a layer of photo-resist on a semiconductor substrate;

the imaging requires a pre-determined dose of radiation; and

the control software comprises: first instructions configured to receive data representative of a magnitude of the pre-determined dose; and

second instruction configured to set a level of a power of the radiation in dependence on the magnitude of the pre-determined dose.

12. The control software of claim 11, wherein:

a total length of time for the imaging onto the multiple target areas includes:

a first length of time for the imaging onto the multiple target areas in a first pass of the semiconductor substrate; and

a second length of time for the imaging onto one or more specific ones of the multiple target areas in a second pass of the semiconductor substrate, the one or more specific target areas having failed to receive the pre-determined dose in the first pass; and

the control software comprises:

third instructions configured to set the level of the power of the radiation so as to keep the total length of time substantially at, or near, a minimum value.

13. The control software of claim 12 comprising:

fourth instructions configured to record, during the first pass, for each respective one of the one or more specific target areas information representative of a respective location at the semiconductor substrate and a respective difference between the pre-determined dose and a dose actually received; and fifth instructions configured to control, during the second pass, the imaging onto the one or more specific ones of the multiple target areas under control of the information recorded.

14. The control software of claim 12 or 13, comprising sixth instructions configured to estimate in advance a number of the specific target areas in dependence on the level of the power and on the magnitude of the required dose.

15. The control software of claim 14, wherein the sixth instructions comprise seventh instructions for accessing a mathematical model configured to generate the number.

Description:
Method for controlling a lithographic system

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application claims priority of EP application 19164206.5 which was filed on

March 21, 2019 and which is incorporated herein in its entirety by reference.

FIELD

[0002] The present invention relates to a method for controlling a lithographic system. The invention also relates to a lithographic system, and to control software configured for use at the lithographic system.

BACKGROUND

[0003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may, for example, project a pattern at a patterning device (e.g., a mask) onto a layer of radiation-sensitive material (resist) provided on a semiconductor substrate.

[0004] To project a pattern on a substrate a lithographic apparatus may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of features which can be formed on the substrate. A lithographic apparatus, which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.

[0005] An EUV lithographic system comprises a radiation source configured to generate EUV radiation and a lithographic apparatus configured to receive the EUV radiation from the lithographic source and to use the EUV radiation to image a pattern onto a substrate (i.e. a semiconductor wafer) provided with a photosensitive resist. The radiation source is typically of the laser-produced-plasma (LPP) source, wherein a high-power laser converts mass-limited fuel targets into plasma, one at the time. The plasma generates EUV. Accordingly, the EUV radiation is provided in a sequence of pulses, at a rate of, e.g., 50 kHz or 100 kHz. The lithographic apparatus may be a scanner, for example, in which each target area on the substrate may be irradiated by scanning the pattern to be imaged through a radiation beam in a given direction while simultaneously scanning the substrate parallel or anti parallel to this direction. Generally, it is desirable to minimize the time taken to image the pattern onto the substrate so as to increase the throughput of the lithographic apparatus (i.e., the number of substrates per hour).

[0006] The amount of radiation energy delivered to a unit of surface area of the substrate is referred to as the dose. Typical magnitude of the dose lies in the range of, for example, 20 mJ/cm 2 to 70 mJ/cm 2 . It is desirable to accurately control the dose of radiation delivered to the substrate. SUMMARY

[0007] According to a first aspect of the invention, there is provided a method of controlling a lithographic system comprising a radiation source and a lithographic apparatus. The radiation source is configured to provide radiation to the lithographic apparatus. The radiation source is, e.g., of the type referred to as the LPP source. The lithographic apparatus is configured to use the radiation for imaging a pattern onto multiple target areas on a layer of photo-resist on a semiconductor substrate. The imaging requires a pre-determined dose of radiation. The method comprises setting a level of a power of the radiation in dependence on a magnitude of the pre-determined dose

[0008] Up till now, lithographic systems have been operated using a fixed time-averaged energy level of the source. This energy level is chosen so as to be suitable for all possible photoresists. In other words, the average energy level is chosen to be suitable for even the photoresist of highest sensitivity. The inventors have realized that, in practice, this results in the chosen energy level being lower than necessary for most applications. It has been found that, by setting the time-averaged energy level (power level) in dependence on the desired dose, the operating power of the radiation source may be optimized so as to maximize the throughput. The method of the invention is advantageous because, by controlling the operating power of the radiation source in dependence on the dose required, the throughput of the lithographic system, i.e., the number of substrates that are processed per unit of time, can be optimized. As will be explained in further detail below, the dose is proportional to the ratio of the (time-averaged) energy per pulse from the radiation source, and the scan speed of the scanner. Throughput of the scanner (the time it takes for the scanner to handle a substrate) increases with increasing scan speed. In order to keep the dose equal to the required magnitude, the energy per pulse is then to be increased as well. However, increasing the energy may lead to instabilities in the energy produced by the radiation source. Sometimes, the radiation source provides insufficient energy to the target area being scanned. Such target area will then need to be subjected to a re-exposure in a second pass of the substrate through the scanner, as the first pass did not deliver the required amount of energy. Such second pass is also referred to as a“die-repair”. Accordingly, with higher energy per unit of time (i.e., with higher power ) of the radiation source, the number of instabilities will generally increase, giving rise to the need for a second pass with an increased number of die -repairs. Therefore, setting the power of the radiation source in dependence on the required dose enables to find an optimum between high scan speed for exposure and the number of die-repairs needed as a result of the power level set, so as to maximize the scanner’ s throughput.

[0009] In an embodiment of the method of the invention a total length of time for the imaging onto the multiple target areas includes: a first length of time for the imaging onto the multiple target areas in a first pass of the semiconductor substrate; and a second length of time for the imaging onto one or more specific ones of the multiple target areas in a second pass of the semiconductor substrate, the one or more specific target areas having failed to receive the pre-determined dose in the first pass. The method comprises setting the level of the power of the radiation so as to keep the total length of time substantially at, or near, a minimum value. There is a chance that, during a first pass of the substrate, one or more target areas of the substrate receive an actual dose of radiation which is less than the desired dose. This can be compensated for by a second, subsequent exposure pass (i.e., so-called die-repair or re-expose pass). The total throughput of the lithographic system is dependent on the sum of the time taken for the initial exposure and the time taken for the second, subsequent exposure (i.e., for die -repair). The inventors have realized that by controlling the operating power of the radiation source in dependence on the required dose the total time taken to fully expose the substrate may be minimized.

[00010] In an embodiment, the method further includes: during the first pass, recording for each respective one of the one or more specific target areas information representative of a respective location on the substrate, and a respective difference between the pre-determined dose and a dose actually received; during the second pass, imaging onto the one or more specific ones of the multiple target areas under control of the information recorded.

[00011] During the imaging, the amount of energy received per target area is being logged via appropriate sensors, as well as the identity of the one or more specific target areas. As known in the art, the identities of the target areas are derived from the so-called wafer grid. The wafer grid determines the coordinates of the locations of the target areas of the substrate in the exposure plane. The wafer grid is determined by the alignment marks embedded in the substrate. Proper alignment of a substrate with respect to the projection optics is performed by an alignment system. For example, a number of alignment marks on the substrate are measured to derive a co-ordinate system, which is compared to a modeled grid to derive the positions of features on the substrate. However, clamping of the substrate on the substrate table, or wafer distortion occurring in non-lithography process steps, may cause distortion of the substrate, which can be monitored by comparison of the measurements to the grid. Models describing the wafer grid may be created, which are used in exposing substrates so as to compensate for the distortions. See, e.g., US patent 9,310,698 issued to Menchtchikov et ah, assigned to ASML and incorporated herein by reference.

[00012] It will be appreciated that as used in this context minimizing the sum of the first time period and the second time period is intended to mean achieving a sum of the first time period and the second time period that is at, or is close to (for example within 10% of, preferably within 2% of, particularly preferably within 1% of), the true minimum.

[00013] For a larger desired dose, the energy level set may be substantially higher, such that the nominal operating power of the radiation source is closer to the maximum power, than if a smaller dose is desired, in which case the energy level set may be substantially lower. As discussed above, the dose may be delivered via a series of pulses in an EUV LPP source . If a greater total dose is desired, a larger number of pulses may be delivered to a target area on the substrate than if a smaller dose is desired. The number of pulses to be delivered to a target area may be used to determine the energy level. For example, the number of pulses may be converted into a particular energy level using a look-up table or another mathematical model.

[00014] A further embodiment of the method in the invention comprises estimating in advance a number of the specific target areas in dependence on the level of the power and on the magnitude of the required dose. This can be implemented via a mathematical model, e.g., implemented in a look-up table or an algorithm, that predicts or, otherwise determines or estimates, the number of target areas, which will need a re-exposure in the second pass, in dependence on the source power set and for a given dose. Such model or look-up table may be prepared in advance by monitoring the instabilities in the power delivered by the radiation source for a variety of controlled energy levels as set, or may be derived from simulations.

[00015] The invention also relates to a lithographic system comprising a radiation source and a lithographic apparatus. The radiation source is configured to provide radiation to the lithographic apparatus and is, for example of, an EUV LPP type. The lithographic apparatus is configured to use the radiation for imaging a pattern onto multiple target areas on a layer of photo-resist on a semiconductor substrate. The imaging requires a pre-determined dose of radiation. The system is configured to set a level of a power of the radiation in dependence on a magnitude of the pre-determined dose.

[00016] In an embodiment of the lithographic system, a total length of time for the imaging onto the multiple target areas includes: a first length of time for the imaging onto the multiple target areas in a first pass of the semiconductor substrate; and a second length of time for the imaging onto one or more specific ones of the multiple target areas in a second pass of the semiconductor substrate, the one or more specific target areas having failed to receive the pre-determined dose in the first pass. The lithographic system is configured to set the level of the power of the radiation so as to keep the total length of time substantially at, or near, a minimum value.

[00017] In a further embodiment, the lithographic system is configured to record, during the first pass, for each respective one of the one or more specific target areas information representative of a respective location at the semiconductor substrate and a respective difference between the pre determined dose and a dose actually received; and to control, during the second pass, the imaging onto the one or more specific ones of the multiple target areas under control of the information recorded.

[00018] In a further embodiment, the lithographic system is configured to estimate in advance a number of the specific target areas in dependence on the level of the power and on the magnitude of the required dose.

[00019] In a further embodiment, the radiation source is operative to supply EUV radiation and is of a laser-produced plasma type.

[00020] The invention further relates to control software configured for use at a lithographic system that comprises a radiation source and a lithographic apparatus. The control software may be provided on a data carrier or on another machine -readable medium. Alternatively, the control software may be provided via a data network, e.g., for downloading on the lithographic system. The radiation source is configured to provide radiation to the lithographic apparatus. The lithographic apparatus is configured to use the radiation for imaging a pattern onto multiple target areas on a layer of photo-resist on a semiconductor substrate. The imaging requires a pre-determined dose of radiation. The control software comprises: first instructions configured to receive data representative of a magnitude of the pre-determined dose; and second instructions configured to set a level of a power of the radiation in dependence on the magnitude of the pre-determined dose.

[00021] In an embodiment of the control software, a total length of time for the imaging onto the multiple target areas includes: a first length of time for the imaging onto the multiple target areas in a first pass of the semiconductor substrate; and a second length of time for the imaging onto one or more specific ones of the multiple target areas in a second pass of the semiconductor substrate, the one or more specific target areas having failed to receive the pre-determined dose in the first pass. The control software comprises third instructions configured to set the level of the power of the radiation so as to keep the total length of time substantially at, or near, a minimum value.

[00022] In an embodiment, the control software comprises fourth instructions configured to record, during the first pass, for each respective one of the one or more specific target areas information representative of a respective location at the semiconductor substrate and a respective difference between the pre-determined dose and a dose actually received; and fifth instructions configured to control, during the second pass, the imaging onto the one or more specific ones of the multiple target areas under control of the information recorded.

[00023] A further embodiment comprises sixth instructions configured to estimate in advance a number of the specific target areas in dependence on the level of the power and on the magnitude of the required dose. The sixth instructions comprise seventh instructions for accessing a mathematical model, e.g., an algorithm or a look-up table, configured to generate the number.

[00024] A benefit for a typical dose (e.g., 40 mJ/cm 2 ) is that the EUV radiation source can operate at an approximately 5% higher power than at a power level for 20 mJ/cm 2 - with up to 0.5% re-exposure. This results in an increase in throughput of about 3.5% substrates per hour at a dose of 40 mJ/cm 2 .

BRIEF DESCRIPTION OF THE DRAWINGS

[00025] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which:

Figure 1 schematically depicts a lithographic system comprising a lithographic apparatus and a radiation source;

Figure 2 is a diagram illustrating the total time required, as a function of the source power, for exposing a substrate including die-repairs, given the dose; and

Figure 3 is a diagram illustrating the number of estimated die-repairs per substrate as a function of the source power, for two dose levels. DETAILED DESCRIPTION

[00026] Figure 1 shows a lithographic system comprising a radiation source SO and a lithographic apparatus LA. The radiation source SO is configured to generate an EUV radiation beam B and to supply the EUV radiation beam B to the lithographic apparatus LA. The lithographic apparatus LA comprises an illumination system IL, a support structure MT configured to support a patterning device MA (e.g., a mask), a projection system PS and a substrate table WT configured to support a substrate W.

[00027] The illumination system IL is configured to condition the EUV radiation beam B before the EUV radiation beam B is incident upon the patterning device MA. Thereto, the illumination system IL may include a facetted field mirror device 10 and a facetted pupil mirror device 11. The faceted field mirror device 10 and faceted pupil mirror device 11 together provide the EUV radiation beam B with a desired cross-sectional shape and a desired intensity distribution. The illumination system IL may include other mirrors or devices in addition to, or instead of, the faceted field mirror device 10 and faceted pupil mirror device 11.

[00028] After being thus conditioned, the EUV radiation beam B interacts with the patterning device MA. As a result of this interaction, a patterned EUV radiation beam B’ is generated. The projection system PS is configured to project the patterned EUV radiation beam B’ onto the substrate W. For that purpose, the projection system PS may comprise a plurality of mirrors 13,14 which are configured to project the patterned EUV radiation beam B’ onto the substrate W held by the substrate table WT. The projection system PS may apply a reduction factor to the patterned EUV radiation beam B’, thus forming an image with features that are smaller than corresponding features on the patterning device MA. For example, a reduction factor of 4 or 8 may be applied. Although the projection system PS is illustrated as having only two mirrors 13, 14 in Figure 1, the projection system PS may include a different number of mirrors (e.g., six or eight mirrors).

[00029] The substrate W may include previously formed patterns. Where this is the case, the lithographic apparatus LA aligns the image, formed by the patterned EUV radiation beam B’, with a pattern previously formed on the substrate W.

[00030] A relative vacuum, i.e. a small amount of gas (e.g. hydrogen) at a pressure well below atmospheric pressure, may be provided in the radiation source SO, in the illumination system IL, and/or in the projection system PS.

[00031] The radiation source SO shown in Figure 1 is, for example, of a type which may be referred to as a laser-produced plasma (LPP) source. A laser system 1, which may, for example, include a C02 laser, is arranged to deposit energy via a laser beam 2 into a mass-limited target of fuel (e.g., a droplet), such as tin (Sn) which is provided from, e.g., a fuel emitter 3. Although tin is referred to in the following description, any suitable fuel may be used. The fuel may, for example, be in liquid form, and may, for example, be a metal or alloy. The fuel emitter 3 may comprise a nozzle configured to direct tin, e.g. in the form of droplets, along a trajectory towards a plasma formation region 4. The laser beam 2 is incident upon the tin at the plasma formation region 4. The deposition of laser energy into the tin creates a tin plasma 7 at the plasma formation region 4. Radiation, including EUV radiation, is emitted from the plasma 7 during de-excitation and recombination of electrons with ions of the plasma.

[00032] The EUV radiation from the plasma is collected and focused by a collector 5. Collector

5 comprises, for example, a near-normal incidence radiation collector 5 (sometimes referred to more generally as a normal-incidence radiation collector). The collector 5 may have a multilayer mirror structure which is arranged to reflect EUV radiation (e.g., EUV radiation having a desired wavelength such as 13.5 nm). The collector 5 may have an ellipsoidal configuration, having two focal points. A first one of the focal points may be at the plasma formation region 4, and a second one of the focal points may be at an intermediate focus 6, as discussed below.

[00033] The laser system 1 may be spatially separated from the radiation source SO. Where this is the case, the laser beam 2 may be passed from the laser system 1 to the radiation source SO with the aid of a beam delivery system (not shown) comprising, for example, suitable directing mirrors and/or a beam expander, and/or other optics. The laser system 1 , the radiation source SO and the beam delivery system may together be considered to be a radiation system.

[00034] Radiation that is reflected by the collector 5 forms the EUV radiation beam B. The

EUV radiation beam B is focused at the intermediate focus 6 to form an image at the intermediate focus

6 of the plasma present at the plasma formation region 4. The image at the intermediate focus 6 acts as a virtual radiation source for the illumination system IL. The radiation source SO is arranged such that the intermediate focus 6 is located at or near to an opening 8 in an enclosing structure 9 of the radiation source SO.

[00035] Although Figure 1 depicts the radiation source SO as a laser-produced plasma (LPP) source, any suitable source such as a discharge produced plasma (DPP) source or a free electron laser (FEL) may be used to generate EUV radiation.

[00036] As mentioned above, mass-limited fuel targets (“droplets”) are converted, one after the other, into plasma. This process may happen at a frequency of, e.g., 50kHz or 100kHz. The EUV radiation beam B therefore consists of a temporal sequence of discrete EUV pulses. Now consider the lithographic apparatus LA, that is being used in the so-called scanning mode. In the scanning mode, the mask MA and the substrate W are scanned synchronously while a pattern imparted to the radiation beam B is projected onto a target area of the substrate W. The velocity and direction of the substrate W relative to the mask MA may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. The total amount of radiation energy delivered by the lithographic apparatus LA to a unit of surface area on the surface of the substrate W is referred to as the“dose”. The desired dose is to achieve a change or curing of a layer of photoresist on the surface of the substrate W. The desired dose may differ depending on the sensitivity of the photoresist. For example, in the case of a highly sensitive photoresist, a relatively small dose (e.g. 20 mJ/cm 2 ) may be desired in order to image the pattern onto the substrate W. However, in the case of a less sensitive photoresist, a larger dose (e.g. 70 mJ/cm 2 ) may be desired.

[00037] If a target area on a substrate W receives a dose which differs from the desired dose, this is referred to as a dose error. In particular, the dose error is the difference between the actual dose received by a target area and the desired dose. A dose error may be‘positive’ (i.e. in the event that a target area receives a larger dose than the desired dose) or‘negative’ (i.e. in the event that a target area receives a smaller dose than the desired dose). Any dose error will result in some printing errors in the photo-sensitive resist. A negative dose error may be corrected by providing an additional dose in order to compensate the previous shortfall. However, it may not be possible to correct a positive dose error since an excessive dose (e.g. leading to overexposure) has already been given, the change in the photoresist generally being irreversible. This may result in irreparable damage to the substrate W.

[00038] It will be appreciated that a certain level of positive dose error may be considered to be acceptable in accordance with manufacturing tolerances. For example, a positive dose error of up to 1%, in particular up to 0.5% may be considered to be acceptable, depending on requirements. An excessive dose as referred to herein means a dose which falls outside of the acceptable tolerance level.

[00039] As previously mentioned, the radiation beam delivers the energy of the EUV radiation in pulses to the target area that is being exposed while the target area is being scanned. That is, the energy that a unit of surface area of the target area receives, i.e., the dose, equals the sum of the energy of the individual pulses incident on that unit of surface area that is being scanned. The number of pulses delivered to a target area on the substrate W will be dependent on, among other things, the operating power of the radiation source SO, and the scan speed. As to the role of the scan speed, it is remarked here that the EUV radiation reaches the substrate W via a slit, underneath which the substrate is traveling. The substrate W accommodates a plurality of target areas, each thereof having a length“1” and a width“w”. During exposure of a target area (or: a“die”) the substrate W is traveling in a direction parallel to the length“1” of the target area with a relative speed“v”. The time Tscan it takes to expose the target area equals 1 / v. If the radiation source SO delivers the energy at a rate of N pulses per second, the area 1 · w receives a number of pulses equal to N Tscan = N· (1 / v). If each pulse had a constant energy of E, then the energy received by the area 1 · w would have been N· (1 / v) · E. As the parameter “dose” is defined as the energy received per unit area, the dose delivered would have been N· (1 / v) · E / (w · 1) = N · E / (v · w). Accordingly, the dose can be adjusted via control of at least one of: the pulse rate, the energy per pulse and the scan speed. A given dose level is determined by the ratio E / v. In order to maximize the scan speed, and therefore the throughput of the lithographic apparatus for a given dose level, one needs to maximize the energy per pulse so as to keep the ratio constant.

[00040] In reality, the energy of an EUV pulse may vary from pulse to pulse. This may be due to variation in size or speed of the fuel droplets, to variation in laser pulse timing, etc. Therefore, the energy of each EUV pulse is tracked. To this end, one or more EUV sensors may be accommodated in the enclosing structure 9 that are configured to sense the EUV generated per pulse. For more background, please see, e.g., US patent 9,360,600, issued to Pate et al., assigned to ASML and incorporated herein by reference. The radiation source SO is operated with a so-called dose margin that controllably sets the level of energy of the EUV generated, averaged over a particular length of time (also referred to as: controlled energy or power), below the source’s maximum output power (also referred to as: open-loop energy). A non-zero dose margin enables to compensate for temporally short drops in EUV energy, e.g., by adjusting the duration of one or more pulses, or by adjusting the energy of one or more laser pulses fired on one or more of the next fuel droplets. For more information on dose control, please see, e.g., US patent 9,693,440, issued to Ershov and assigned to ASML, and US patent 8,872,122, issued to Schafgans et al., and assigned to ASML, both US patents incorporated herein by reference. Also see, US patent application publication 20180253014, filed for Everts et al., assigned to ASML and incorporated herein by reference. Further see, e.g., US patent 8,653,437 and US patent 9,390,827, both issued to Partlo et al., assigned to Cymer, a subsidiary of ASML, and incorporated herein by reference.

[00041] In case too many consecutive EUV pulses deliver too low an energy to the substrate’s exposed target area, the eventual dose delivered to the target area may be too low. Such dose drops and associated target areas are logged. This information is then used to expose such areas in a second pass of the substrate in order to correct the dose for those areas.

[00042] For a given operating power, a more sensitive photoresist may receive fewer pulses than a less sensitive photoresist in order to obtain the desired dose so as to image the pattern onto the substrate W. In practice, the output of a pulsed radiation source SO will vary with time. If the energy of an individual pulse is higher or lower than a nominal or desired output energy for each pulse then this will contribute to a dose error. The fewer pulses received by a target area, the greater the effect of such an individual pulse energy error will be on the total dose.

[00043] If the dose margin is made smaller and, therefore, if the radiation source SO is operating closer to maximum power, then the time to expose a single substrate can be decreased if the scan speed is increased. However, operating the source SO with closer to maximum power also increases the chance of having to re-expose certain target areas of the substrate W in a second pass. The reason for this is that there is not always enough energy available in the limited number of subsequent EUV pulses incident on the target area in order to compensate for a drop in EUV energy delivered by preceding EUV pulses to that target area. Accordingly, the total time Ttotal it takes to successfully complete the exposure of a substrate W is the expose-time Texpose needed to expose the substrate W in a first pass plus the re-expose-time Tre-expose needed to run the re-exposures in a second pass of the substrate W to deliver the missing dose(s).

[00044] Both parameters Texpose and Tre-expose depend on the scan speed v and on the dose margin (or, in other words: depend on the level of the controlled energy as set), given the dose required. The parameter Texpose turns out to be a monotonically decreasing function of the controlled energy, whereas the parameter Tre-expose turns out to be a non-linear monotonically increasing function of the controlled energy. Accordingly, there is a minimum magnitude of the total time Ttotal as a function of the controlled energy, namely for that level of the controlled energy for which sum of the derivatives [dTexpose / d controlled energy] and [dTre-expose / d controlled energy] equals zero. Setting the controlled energy at, or around, that level, given the dose N · E / (v · w) required, will generally minimize the throughput time per substrate W. For this, it is required that one has information on how the number of re-exposures per wafer changes with a change in controlled energy. This has been determined and modeled, e.g., by monitoring the output of the radiation source SO for various levels of controlled energy, so as to be able to profile the behavior of the radiation source. The above is illustrated in the diagrams of Figures 2 and 3.

[00045] The diagram of Figure 2 gives the curve T expose illustrating the time needed for the exposure of the substrate W with a given dose as a function of the source power, if there are no instabilities. As the instabilities in the source power increase with increasing power, the number of die- repairs increases as well. The curve Tre-expose illustrates the time needed to re-expose the substrate W as a function of the source power. The curve Ttotal is then the sum of the time needed for exposure and the time needed for re-exposure, as a function of the source power.

[00046] The diagram of Figure 3 illustrates for two different dose levels, 20mJ/cm 2 and 70 mJ/cm 2 , the estimated number of die-repairs needed per substrate (wafer) as a function of the source power.

[00047] Summarizing: if scan speed v is increased, throughput can be increased. However, for a given dose , i.e., for a given ratio of E / v , the average energy E is then to be increased as well in order to keep the ratio constant. Increasing the average energy E, i.e., reducing the dose margin, leads to more instabilities that require more die-repairs, i.e., that require a longer second pass of the substrate for the re-exposure. The inventors propose to set the energy level E in a range that lowers the total time Ttotal , the energy E then determining the scan speed v via the given magnitude of the required dose E / v. In the second pass, the missing dose is supplied to the target areas that received the incomplete dose in the first pass. The missing dose may be controlled by a change in E, a change in v or in both. See, e.g., US patent 8,653,437 and US patent 9,390,827, referred to above.

[00048] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc.

[00049] Where the context allows, embodiments of the invention may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the invention may also be implemented as instructions stored on a machine-readable medium, which may be read and executed by one or more processors. A machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine-readable medium may include read only memory (ROM); random access memory (RAM); magnetic storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other forms of propagated signals (e.g. carrier waves, infrared signals, digital signals, etc.), and others. Further, firmware, software, routines, instructions may be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc. and in doing that may cause actuators or other devices to interact with the physical world.

[00050] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.