Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
USE OF TOPOGRAPHY TO DIRECT ASSEMBLY OF BLOCK COPOLYMERS IN GRAPHO-EPITAXIAL APPLICATIONS
Document Type and Number:
WIPO Patent Application WO/2015/058200
Kind Code:
A1
Abstract:
A method is provided for forming a patterned topography on a substrate. The substrate is provided with features formed atop that constitute an existing topography, and a template for directed self-assembly (DSA) is formed surrounding the exposed topography. Further to the method, the template is filled with a block copolymer (BCP) to cover the exposed topography, and then the BCP is annealed within the template to drive self-assembly in alignment with the topography. Developing the annealed BCP exposes a DSA pattern immediately overlying the topography. In one embodiment, the surfaces of the topography or the template are treated to alter a surface property thereof.

Inventors:
RATHSACK BENJAMEN M (US)
SOMERVELL MARK H (US)
Application Number:
PCT/US2014/061392
Publication Date:
April 23, 2015
Filing Date:
October 20, 2014
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
TOKYO ELECTRON LTD (JP)
TOKYO ELECTRON US HOLDINGS INC (US)
International Classes:
H01L21/302
Foreign References:
US20110186544A12011-08-04
US20110059299A12011-03-10
US20110147984A12011-06-23
Attorney, Agent or Firm:
MITROVIC, Andrej (Inc.2400 Grove Blvd, Austin Texas, US)
Download PDF:
Claims:
WHAT IS CLAIMED IS:

1. A method for forming a patterned topography on a substrate, comprising:

providing a substrate comprising exposed features formed atop, the exposed features forming an existing topography;

forming a template for directed self-assembly (DSA) immediately atop the existing topography, the template comprising exposed template surfaces surrounding regions of exposed topography;

filling the template with a block copolymer (BCP) to cover the exposed topography; annealing the block copolymer (BCP) within the template to drive self-assembly in alignment with the topography; and

developing the annealed block copolymer (BCP) to expose a directed self- assembly (DSA) pattern immediately overlying the topography.

2. The method of claim 1, further comprising:

transferring the directed self-assembly (DSA) pattern into the topography, to form a patterned topography,

3. The method of claim 1 or 2, wherein forming the template comprises:

applying a planarization layer on the topography;

applying an antireflective layer over the planarization layer;

applying a layer of radiation-sensitive material over the antireflective layer;

patterning the radiation-sensitive material layer to form a template image;

transferring the template image into the planarization layer by etching the antireflective layer and planarization layer to a depth sufficient to expose the topography;

stripping any remaining portions of ihe radiation-sensitive material and the antireflective layer to leave the exposed topography surrounded by the template for directed self-assembly (DSA) formed by remaining portions of the planarization layer.

4. The method of any one of claims 1 to 3, further comprising:

selecting a material for the planarization layer that provides a difference in surface properties between the topography and the template formed from the planarization layer.

5. The method of any one of claims 1 to 3, further comprising:

prior to filling the template, chemically treating the exposed topography or the exposed template surfaces, or both to alter at feast one surface property of the exposed topography or the exposed template surfaces.

6. The method of claim 5, wherein the step of chemically treating comprises exposing the exposed topography or the exposed template surfaces, or both to at least one of the following: a solution comprising an acid; a solution comprising a base; a cleaning solution comprising a cleaning agent selected from the group consisting of dimethyl sulfoxide (DMSO), tetramethyl ammonium hydroxide (TMAH), SCI, SC2, and mono methyl ether acetate (MEA), or two or more thereof; a polymer brush coating; ultra-violet (IJV) electromagnetic radiation; ozone; a plasma; an electron beam; a gas cluster ion beam; a sequential infiltration synthesis (SIS) treatment; a direct current superposition (DCS) treatment: or a reactive vapor.

7. The method of claim 5, wherein the step of chemically treating alters the exposed topography to render it more attractive to one phase of the block copolymer (BCP) than the exposed template surfaces.

8. The method of claim 5, wherein the step of chemically treating alters the exposed template surfaces to render them less attractive to one phase of the block copolymer (BCP) than the exposed topography and/or than the exposed template surfaces prior to the treatment.

9. The method of claim 5, wherein the step of forming the template comprises a plasma etching step using an oxygen-containing plasma whereby the exposed template surfaces are oxidized, and wherein the step of chemically treating includes exposing the exposed template surfaces to a reducing chemistr '- to alter the exposed template surfaces to a less oxidized state.

10. The method of claim 9, wherein the reducing chemistry is a hydrogen-containing plasma or a wet processing chemistry comprising dilute hydrogen fluoride.

1 1. The method of claim 9 or 10, wherein the block copolymer (BCP) comprises polystyrene (PS) and poly methyl methacrylate (PM.MA), wherein the poly methyl methacrylate (PMMA) is attracted to oxidized surfaces such that the poly methyl methacryiaie (PMMA) is less attracted to the treated exposed template surfaces having the less oxidized state than to the untreated exposed template surfaces, and wherein annealing the block copolymer (BCP) within the template drives self-assembly of the block copolymer (BCP) with the poly methyl methacryiaie (PMMA.) in alignment with the topography.

12. The method of claim 5, wherein the step of chemically treating comprises coating the exposed template surfaces with a first phase of a block copolymer (BCP) to render the exposed template surfaces more attractive to the first phase of the block copolymer (BCP) than prior to the coating, and wherein annealing the block copolymer (BCP) within the template drives self- assembly of the block copolymer (BCP) with a second phase of the block copolymer (BCP) in alignment with the topography.

13. The method of claim 12, further comprising:

performing a direct current superposition (DCS) treatment of the exposed template surfaces to deposit silicon thereon prior to coating the exposed template surfaces with the first phase of the block copolymer (BCP).

14. The method of claim 12 or 13, further comprising:

exposing the exposed template surfaces to an oxygen-containing environment prior to coating the exposed template surfaces with the first phase of the block copolymer (BCP).

15. The method of any one of claims 12 to 14, wherein the first phase of the block copolymer (BCP) is polystyrene (PS) and the second phase of the block copolymer (BCP) is poly methyl methacryiaie (PMMA).

Description:
USE OF TOPOGRAPHY TO DIRECT ASSEMBLY OF BLOCK COPOLYMERS IN GRAPHO-EPITAXIAL APPLICATIONS

FIELD OF Τ1 Π . INVENTION

8001] This invention relates to Directed Self- Assembly (DSA) of block copolymers (BCPs) and, more specifically, the use of grapho-epitaxy and optionally chemo-epitaxy to drive the assembly of a BCP for semiconductor patterning.

BACKGROUND OF THE INVENTION

[0002] The industry is running out of cost-effective ways to make small patterns in integrated circuit (TC) designs, especially hole stmctures. FIG. 1 illustrates schematically the need for such small hole structures. An array of lines 10 form a topography 20 on a substrate. The lines 10 need to be cut in a typical design, for example, a 10 nm node design. Square-shaped holes 12 and rectangle-shaped holes 14 would be patterned to allow access to the lines 10 for cutting. As the area of the entire pattern shrinks, smaller holes 12, 14 must be patterned, and the space between holes gets tighter as well. Historically, lithographic applications have been able to print all of these holes in a single print. However, currently, multiple exposure passes are required to print the different holes because they are so close together and so small, and by the time the 10 nm node arrives, it is likely that as many as four masks will be required to print even this simple geometry,

[0003] Block copolymers (BCPs) are being investigated for their use in making fsne patterns because they can thermodynamically form very small domains of regular structures currently used in semiconductor patterning (e.g., cylinder or line/space patterns). Typically, in these systems, the assembly of the BCP is directed by an external driving force. One such method for directing the BCP assembly is through the use of physical templates. However, there is a need for methods for directing the assembly of BCPs that enable greater control of the interfaces of the blocks to allo for more precise creation of the exact shapes needed to make fine circuit patterns.

SUMMARY OF THE INVENTION - CT-117US1

0004] A method is provided for forming a patterned topography on a substrate. The substrate is provided with features formed atop that constitute an existing topography, and a template for directed self-assembly (DSA) is formed surrounding the exposed topography. Further to the method, the template is filled with a block copolymer (BCP) to cover the exposed topography, and then the block copolymer (BCP) is annealed within the template to drive self- assembly in alignment with the topography. Developing the annealed block copolymer (BCP) exposes a directed self-assembly (DSA) pattern immediately overlying the topography.

[8005] In one embodiment, the template is provided by applying a planarization layer on the topography, applying an antirefiective layer over the planarization layer, and applying a layer of radiation-sensitive material over the antirefiective layer, which layer of radiation-sensitive material is then patterned to form an image. The image is then transferred into the planarization layer by etching the antirefiective layer and planarization layer to expose the topography, followed by stripping any remaining portions of the radiation-sensitive material layer and the antirefiective layer to leave the exposed topography surrounded by the template for directed self- assembly (DSA) formed by remaining portions of the planarization layer.

[8006] In one embodiment, a difference in surface energy is created between the topography and the template, and the annealing of the block copolymer (BCP) within the template drives self-assembly under the influence of the difference in surface energy.

[0007] According to another embodiment, a method is provided for forming a patterned topography on a substrate. The substrate is provided with features formed atop that constitute an existing topography, and a template for directed self-assembly (DSA) is formed surrounding the exposed topography . Further to the method, the exposed template surfaces are chemically treaied. The template is then filled with the BCP to cover the exposed topography, and then the BCP is annealed within the template to drive self-assembly in alignment with the topography. Developing the annealed BCP exposes a DSA pattern immediately overlying the topography.

[0008] In one embodiment, treating the exposed template surfaces alters at least one surface property thereof. For example, the treatment may render the exposed template surfaces less attractive to a first phase of the block copolymer (BCP) compared to the exposed template surfaces prior to the treatment. By way of further example, when the exposed template surfaces are oxidized during the formation of the template, the surfaces may be treated with a hydrogen- containing reducing chemistry to alter the surfaces to a less oxidized state. The annealing of the block copolymer (BCP) within the template then drives self-assembly of the block copolymer (BCP) with the first phase of the block copolymer (BCP) in alignment with the topography.

[0009] In another embodiment, the surfaces are coated with a first phase of a block copolymer (BCP) to render the surfaces more attractive to the first phase than prior to the coating. The annealing of the block copolymer (BCP) within the template then drives self- assembly of the block copolymer (BCP) with a second phase of the block copolymer (BCP) in alignment with the topography. In a further embodiment, prior to coating with a first phase of a block copolymer, a direct current superposition (DCS) treatment of the exposed template surfaces may be conducted to apply a layer of silicon to the exposed template surfaces, followed by exposing the layer of silicon on the exposed template surfaces to an oxygen-containing environment to oxidize the exposed template surfaces. The coating then comprises a brush polymer of OH and the first phase of a block copolymer (BCP).

BRIEF DESCRIPTION OF THE DRAWINGS

[0010] The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the present invention and, together with a general description of the invention given above, and the detailed description of the embodiments given below, serve to explain the principles of the present invention.

[8(511] FIG. 1 is a schematic depiction of a line array with hole patterning for cutting the lines.

[0012] FIG. 2 schematically depicts formation of a graphical template over the array of FIG. 1.

[8013] FIGS. 3A-3L depict in schematic cross -sectional view an embodiment of a method for patterning a topography on a substrate using directed self-assembly.

[8014] FIG, 4 is a perspective view of the template of FIG. 2 used in a simulation study.

[8(515] FIG. 5 is a plot of images in the simulation study taken along line 5-5 of FIG, 2 for different surface interaction parameters.

[0016] FIGS. 6A-6B are 3-dimensional views of a DSA pattern, formed without and with a topography in the template.

[8017] FIG, 7 is a top schematic vie w of a template overlying a topography with a DSA pattern tethered to the topography.

[8018] FIGS. 8A-8C are top schematic views of a method for tethering a DSA pattern to a topography according to an embodiment.

DETAILED DESCRIPTION

[8019] Methods using grapho-epitaxy and chemo-epitaxy to drive the assembly of a block copolymer for semiconductor patterning are disclosed in various embodiments. However, one skilled in the relevant art will recognize that the various embodiments may be practiced without one or more of the specific details or with other replacement and/or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring aspects of various embodiments of the present invention.

[0020] Similarly, for purposes of explanation, specific numbers, materials, and

configurations are set forth in order to provide a thorough understanding. Nevertheless, the embodiments of the present invention may be practiced without specific details. Furthermore, it is understood that the illustrative representations are not necessarily drawn to scale.

[0021] Reference throughout this specification to "one embodiment" or "an embodiment" or variation thereof means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention, but does not denote that they are present in e v ery embodiment. Thus, the appearances of the phrases such as "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention.

Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. Various additional layers and/or structures may be included and/or described features may be omitted in other embodiments.

[8022] Additionally, it is to be understood that "a" or "an" may mean "one or more" unless explicitly stated otherwise.

[0023] Various operations will be described as multiple discrete operations in turn, in a manner that is most helpful in understanding the invention. However, the order of description should not be construed as to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation. Operations described may be performed in a different order than the described embodiment.

[8024] Various additional operations may be performed and/or described operations may be omitted in additional embodiments.

[0025] As described above, one method for directing the BCP assembly is through the use of physical templates. Another method is to build a chemical activity difference into the substrate so that one or both blocks of the BCP will align with the preferred substrate. In all such integrations, the key to good block copolymer assembly is the interaction of the block copolymer with the surfaces that it comes into contact with. Whether chemo-epitaxy or grapho- epitaxy is used, the interaction of the BCP with both the substrate and the sidewalls that it comes into contact with will determine how it chooses to self- assemble. In accordance with the invention, methods for directing the assembly of block copolymers are disclosed that enable greater control of the interfaces of the blocks to allow for more precise creation of the exact shapes needed to make fine circuit patterns.

[0026] FIG. 2 schematically illustrates simplification of this process using DSA. A graphical template 30 is formed over the topography 20 of FTG. I to provide the location for holes 12 (three shown) that can be used to cut the lines 10. The holes 12 that would require multiple patterning are now being created through 1) patterning of a template 30 and 2) using this template 30 to place the holes 12. that will allow access to cut the lines 10. In addition to the template 30, and in accordance with embodiments of the invention, the directed assembly of the holes 12 is augmented by utilizing the topography 20. Specifically, if the topography 20 has or is treated in such a way that its chemical activity favors the assembly of cylinders 32. on top of it, then the holes 12. can be anchored to the topography 20. Thus, the invention is a DSA application that uses a hybrid grapho/chemo epitaxy approach. It is graphical in that it uses the guiding template 30, and it is chemical in that it uses the surface energy of the topography 20 to further augment the placement of the holes 12.

[8027] The following is a more detailed discussion of two different embodiments of the invention that utilize this approach of using aspects of both grapho-epitaxy and chemo-epitaxy to drive the assembly of the BCP in specific locat ions. In both embodiments, chemical treatment of a topography may be used to drive the assembly of a block copolymer within a graphical template. This specific technology is used within an overall wafer flow to generate the desired structures. However, it may be appreciated that the chemo-epitaxy aspect may be achieved without chemical treatment, such as by selecting materials for the topography and template that provide the chemical differences inherently that drive the assembly.

[8028] In the first embodiment, depicted in schematic cross-sectional view in FIGS. 3A-3L, an array of features, specifically lines 10, has been patterned on a substrate 18, such as a semiconductor wafer, to provide a starting topography 20, as shown in FIG. 3 A, and the desire is to cut these lines 10 in specific locations. To that end, in FIG. 3B, a planarization layer 22 is applied to planarize the topography 20. Planarization layer 22 may be, for example, a spin-on carbon layer. The planarization layer 22 will ultimately form the template for the grapho- epitaxy aspect of the invention. Next, as shown in FIG. 3C, an anti-reflective coating (ARC) layer 24, such as a silicon ARC, is coated on top of the planarization layer 22. It may be understood that the ARC layer 24 may not by itself act as an amirefleetive coating, but rather, is more genetically a second layer that acts in combination with the planarization layer to provide a dual-layer bottom ARC (BARC) 26. Nonetheless, the second layer (layer 24) may be referred to as an ARC layer for the reason that it provides the antireflec ive properties when combined with the first layer (planarization layer 2.2). The thicknesses and optical properties of these layers, which form the dual-layer BARC 26,are tailored so that the substrate reflectivity is minimized.

[8(529] A layer of radiation-sensitive material, e.g., photoresist 28, is coated, as shown in FIG. 3D, and patterned (imaged) on top of this dual-layer BARC 26, as shown in FIG. 3E, and the photoresist image is subsequently transferred into the planarization layer 2.2. through traditional reactive ion etching (TRIE) processing, as shown in FIG. 3F (the photoresist 28 and ARC layer 24 are also removed) thereby forming the template 30 in the planarization layer 22. The depth of etching into the planarization layer 22 may be complete, so as to expose an upper surface of the underlying substrate 18, or partial, so as to leave a portion of the planarization layer 2.2. at the bottom of the template 30. In either case, surface portions 36 of the lines 10 are exposed, so as to reveal an exposed topography 20 surrounded by the template 30.

[0030] The next step, depicted in FIG. 3G, is an optional surface treatment 34 of the pattern transferred into the planarization layer 22 that will impact the self-assembly of the BCP. As described below in more detail, this treatment is needed in some cases and is not needed in other cases. Further, the surface treatment can effect a change in surface properties of certain surfaces while leaving other surfaces unchanged. For example, as depicted in FIG. 3G, the surface treatment 34 can alter the exposed surface portions 36 of the lines 10, while leaving the sidewaiis 40 and bottom surfaces 42. of the template 30 unchanged.

[0031] After this optional surface treatment, a BCP 50 is applied to the pattern, as shown in FIG. 3H to fill the template 30 (partially or completely), and then annealed allowing the BCP to form a cylindrical morphology, as shown in FIG. 31. More specifically, the anneal causes a first block 52 of the BCP to form a plura lity of cylinders 32. aligned over the lines 10 within a matrix of a second block 54 of the BCP. Subsequent development of the BCP 50 to remove the cylindrical morphology, i.e., the first block 52 of the BCP 50, as shown in FIG. 3 J, gives access to the topography 20 by exposing the DSA pattern immediately overlying the topography 20. There is then access to make the appropriate cuts of the underly ing array of lines 10, as shown in FIG. 3K, so etching can be done and selected lines 10 cut, as the circuit design requires, to form a patterned topography. The substrate 18 is then stripped to reveal the patterned topography, i.e., an array 60 of cut lines 10', as shown, in FIG. 3L.

[8032] For the process flow of FIGS. 3A-3L to be optimized, there are a host of variables that can be leveraged. Specifically, the shape of the template 30 and how the topography 20 is aligned in that template 30, as well as the surface energies of the different surfaces can be adjusted. Therefore, this approach is a combination of chemo- and grapho-epitaxy. The importance of the chemo-epitaxy in this approach can be seen through a simulation study that was completed using a Monte Carlo code. The area depicted in FIG. 2, shown in perspective view in FIG. 4, was used for the simulation, and the BCP 50 was polysfyrene/polymetbyl methacrylate (PS/PMMA), with the PMMA block forming the cylindrical morphology.

[8033] In the simulation study, the parameters that were plotted are surface interaction parameters, which are presented on a normalized 0-2.0 scale that represents the full degree of wetting that may be observed in the system. A. s refers to the interaction between the PMMA block of the BCP 50 and the sidewall 40 of the template 30 in normalized simulation units; Λχ refers to the interaction between the PMMA block of the BCP 10 and the topography 2.0, There is a third interaction, Λ¾, that describes the interaction between the PMMA. block of the BCP and the bottom surface 42 of the template. A¾ was kept constant for the set of simulations at a value of 1.0. The pictures in FIG. 5 were taken along line 5-5 of FIG. 2, and are the perspective of one looking at the junction of the V. The cylinder in the middle, 32 M , is located at the junction, and the cylinders at the left and right, 32 L and 32 R , respectively, are located at the end of each arm of the template. It is clear that controlling the chemical nature of the surfaces has a large impact on the type of assembly that is observed. For example, in the point at A T = 0.2. and A s = 1.0, it can be seen that all three cylinders, 32 L , 32M, and 32R, connect from the surface of the BCP 50 to the topography 20 itself. There are also parallel cylinders 33 that connect these three vertical cylinders 32 L , 32M, and 32 E together. This type of three-dimensional structure can be used to cut features such as gates. In a first step, the PMMA could be etched out through the use of a RIE etch step, and a. second etch step could then be used to cut the lines. On the other hand, viewing the simulation results where A T = 2.0 and A s = 0.2, none of the three cylinders 32 L , 32M, and 32 R are contacting the topography 20. Finally, in the simulation where A T = 2.0 and A s = 1.6, it can be seen that the cylinder 32 M at the j unction of the V is connected to the topography 20, but the other two cylinders , 32L and 32R, are not. For these last two conditions, transfer of the pattern to cut the lines 10 could be difficult because all three of the cylinders 32 , 32M, and 32 R are not open to the topography 20.

[8034] The simulation study also illustrates that having too high of a positive interaction on the topography 20 can lead to a disconnected cylinder 32. In these cases, a wetting layer of PMMA forms on top of the topography 20, but does not connect fully with the cylinder 32. Though counter-intuitive, one can imagine the situation where the very high attraction between this phase of the BCP and the topography causes a tension in the cylinder (akin to pulling a piece of gum). The BCP has some elasticity and can stretch if this tension is not too great, but if it is, then the cylinder breaks and forms two different pieces— one wetting the topography, and one hovering in the majority phase, disconnected from the topography.

[8035] The simulation study depicted in FIG. 5 thus illustrates the importance of ehemo- epitaxy on the formation of the struciures within the V-shaped tempiaie. The importance of grapho-epitaxy in this scenario is illustrated by comparing a case where the topography in the template is removed,

[8(536] As shown in FIG. 6A, the structure formed without topography has an additional two cylinders branching out of the cylinder 32 M located at the V of the template 30, whereas the template 30 with the topography 20, shown in FIG. 6B, has two cylinders 32 L and 32 R attached to the topography and one cylinder 32M that does not connect. The added volume of the tempiaie left by the topography allows the minority phase of the BCP to have more room to form this complicated geometry and so it does.

[8037] Finally, the present disclosure addresses means for effecting different chemical interactions on the different surfaces. The simulation studies illustrate that the interaction at the bottom surface 42 (i.e., at or near the interface with the substrate 18) is not very critical when there is topography 20 within the template 30. The simplest way to impart the necessary surface properties on the sidewall 40 of the template and on the topography, i.e., on the surfaces of the lines 10, is for the materials selected to have these conditions naturally. So, in creating such structures, materials are selected for the topography and the template that have different surface properties and can be used to manipulate the geometry. Therefore, the chemo-epitaxy aspect of the invention may be achieved through material selection, making a surface treatment step unnecessary. Alternatively, if the structures are not selected with the desired properties, there are a variety of process steps that can be used to change the surface properties after creation of the template. [8(538] One method for altering the surface properties is the use of liquid rinses. Acid rinses may be used, with a subsequent bake step causing acid catalyzed deprotection of a surface (while leaving the other surfaces intact). This would provide control of the polarity of a surface. By way of example and not limitation, acid rinses may include low concentrations of strong acids such as HQ, H2SO 4 , HNO3, HSO3F, or fluorine-based acetic acids at pH >?... Weak acids like acetic acid may also be used, again maintaining a pH >2. One skilled in the art may- appreciated that other acid solutions may used provided they are not detrimental to the hardware used in the processing system. Basic rinses, such as common photoresist de veloper, may be used to change the contact angle of one or more surfaces. By way of example and not limitation, Basic rinses may include tetramethyl ammonium hydroxide (TMAH), specifically at standard developer concentrations (0.26N), tetra-butyl ammonium hydroxide, tertiary amines (e.g., trioctyi amine) or secondary amines. Solvent rinses may be used, which can potentially solubilize and remove small molecular weight compounds that exist in or near the surfaces. By way of example and not limitation, solvents may include propylene glycol methyl ether acetate (PGMEA), ethyl lactate, n-butyl acetate, gamma butyrolactone, cyclohexanone, or 2-heptanone (methyl amy! ketone). Cleaning rinses may be used, including standard chemistry used in the industry to prepare surfaces for further processing in microelectronics. The cleaning rinses can change the surface properties of the interfaces. By way of example and not limitation, such chemistries include dimethyl sulfoxide (DMSO), TMAH, DMSO+TMAH (Orgasolv™), SCI (40 parts deionized water, 1 part hydrogen peroxide, 1 part ammonia hydroxide), SC2 (160 parts deionized water, 4 parts hydrogen peroxide, 1 part hydrochloric acid), moiiomethyl ether acetate (MEA), and DMSO + MEA. Reactive rinses may be used, which can react with a surface to change the nature of the surface. One exampl e is a silylating agent that reacts with free acidic OH groups to leave a silicon group attached to the surface. For example, a liquid

hexamethyldisilazane (HMDS) treatment may be used.

[8039] Another method for altering the surface properties is the use of brush coatings polymers, which can be coated on the template structure to change the surface to either polar or non-polar. These brush coatings can be modified so that they can selectively graft to one surface without grafting to another surface. The excess can be rinsed to remove the non-grafted brush from one or more of the surfaces of interest. By way of example and not limitation, the brush coating may comprise one phase of the BCP, advantageously with a hydroxyl termination, such as OH-terminated PMMA or OH-terminated PS. [8(548] Other methods for altering the surface properties include the use of UV treatment or ozonation. Some materials will undergo chemical and surface changes in the presence of deep UV (DUV) radiation. Such chemical changes can be used to change the surface energy of a substrate. DUV plus heat can cause cross-linking of organic materials. Ozonation, which is UV treatment with oxygen, tends to oxidize surfaces to make them more hydrophilic. Ozonation can be completed by generating ozone in situ (oxygen + 172 nm light) in the presence of the substrate, through the use of ozonated water, or direct treatment with ozone itself.

[8(541] Other methods for altering the surface properties include ihe use of plasma treatments, direct current superposition (DCS) cure, electron beam (E-Beam) curing, and gas cluster ion beam (GCIB) treatment. Plasma treatments can be used to generate radicals, which can react with surfaces and change their properties. They can also be used to create polymers which will deposit on the wafer. Treatment with DCS also forms an oxidized surface. DCS is both a cross - linking (curing) and Si0 2 deposition method. DCS is conducted in a capaeitiveJy coupled plasma (CCP) reactor where a negative DC voltage is imposed upon a top silicon electrode, and the negative voltage superposition accelerates ions from plasma towards the top electrode resulting in high energy ion-bombardment on the top electrode. Secondary electrons are thereby produced which become ballistic upon being accelerated through the DC sheath. Ton- bombardment also causes physical sputtering of Si from the top electrode. Both the ballistic electrons and the sputtered Si rain lown upon the substrate skiing on the bottom electrode. The thin Si coating formed on the substrate is then instantaneously oxidized upon exposure to air/moisture. E-Beam curing can cause surface changes similar to oxidative changes. In a GOB treatment, ions can attack horizontal surfaces while leaving vertical surfaces unchanged. In some cases, polymers can be deposited on horizontal surfaces as well.

[8042] Another method for altering the surface properties is the use of gas treatments.

Reactive vapors, like HMDS or other vapor silylating agents, can graft to some surfaces and change the contact angle (akin to the liquid reactive rinse with HMDS discussed above, but in gas phase).

[8043] Another method for altering the surface properties is the use of sequential infiltration synthesis (SIS) treatment, which is a means of doing sequential gas or liquid treatments to grow units on and in a material, one reaction at a time. The reactions tend to work from the outside in. Argonne National Labs has a SIS treatment system that uses trimethyl aluminum as the critical agent, which reacts with ester groups to incorporate aluminum into a film. [8(544] Assuming that the materials are different, some of the surface-altering processing above will have a natural selectivity that can thus result in selective changes in surface energy, in other cases, the presence of reactive sites at the surface of one material or another can allow for selective incorporation of a surface-changing material.

[0045] Although simulations have not shown a strong dependence on the chemical activity of the bottom surface 42 of the template, it is possible to envision situations where that surface does come into play. In that case, for example, sequential treatments of the template where one process is designed to treai ihe topography and one process is designed to treat the bottom of the template may be used. As an example, in a first step, the template is treated with a first brush polymer that can only graft to the topography, followed by a rinse to remove any excess, and in a second step, the template is treated with a second brush polymer that can only graft to the bottom of the template, follo wed by a rinse to remove any excess. Furthermore, there are eases where the topography might have the desired chemical activity, and so treatment of the bottom alone would be required. Therefore, the processes listed above to help create a difference in chemical activity between the sidewall and the topography can also be used to create differences in the template bottom as well.

[8046] While embodiments of the invention have been described with reference to an example of attaching a DSA pattern to an existing topography comprising an array of lines to be cut, the method is also applicable with little modification for attachment of a DSA pattern to any- kind of topography, whether in a regular array, or not, as long as the template is formed for the grapho-epitaxy aspect, and as long as the appropriate chemical activity is inherently possessed by the template, topography, and bottom for the chemo- epitaxy aspect, either inherently or through surface treatment, in the latter aspect, as described above, various chemical treatments can be used to alter the chemical activities of any or all of the template, topography, and bottom, to facilitate self-assembly immediately on top of the existing topography.

[8047] In a second embodiment, the same concepts described above in reference to FIGS, 3A-3L are applicable for a different purpose. Consider the case where it is desired to use a graphical trench to drive the assembly of a series of contact holes. Such an application can include any number of contacts within a narrow trench, and can also be extended to cases where the trench has turns (like T's or L's). Prior work on this kind of application has revealed a shortcoming in that the holes are not perfectly placed as desired, with respect to the underlying topography. The prior work shows that placement error of two holes in the template is approximately 1.0 nm in the short axis and 1.3 nm in the long axis, and as the trench gets longer and longer, the image placement in the short axis stays relatively constant, but the offset in the long-axis continues to increase. The implication is that where the holes are well-confined (i.e., the short axis), their placement is better than when they have additional freedom to move (i.e., the long axis). Since the placement of patterns is as critical as the dimension of the feature, there is concern that the tendency of these cylinders to shift within the templates may make it difficult to bring this technology to high-volume manufacturing.

[8(548] The present invention solves this issue by placing a topography 20 within the template 30, and using it to tether the cylindrical phases 32 of the BCP 50 in place, as shown in F G. 7. The graphical template 30 will maintain the placement of the contact holes in the narrow direction. By appropriate treatment of the topography 20, the chemical interaction will maintain the placement of the contact holes in the long axis of the template 30 (or near infinite axis in the case of a long trench with contact holes.)

[8049] One benefit of this approach is that the resulting structure (holes 16 making contact to lines 10) is a standard structure for contacts and gates or via and trenches, and the invention facilitates the construction of a needed component.

[8058] Another variant of this embodiment will occur where the layout dictates that there are not lines 10 for every single hole in the trench. In this case, the chemically modified topography 20 will st ill drive the placement of the contact holes that will make contact with the topography 20, i.e., lines 10, while the holes without the topography 20 will still be more constrained than they would be in the case without the chemically active topography 20, but will still have more error in their placement than those that are tethered. These non-contacting holes could be left as is (i.e., dummy holes). Alternatively, as depicted in the process flow FIGS. 8A-8C, these holes could be removed through a second patterning step where only the desired contact holes are opened in the second patterning step. FIG. SA shows the template 30 formed over the topography 20, which includes a plurality of spaced lines 10. FIG. 8B depicts the result of the DSA. process of the invention, with the cylinders 32a of the BCP 50 that correspond to the contact holes tethered to the lines 10 and the cylinders 32b that correspond to the non-contact holes not tethered to any underlying topography but still constrained within the template 30. FIG. 8C depicts the creation of a mask 70 for patterning the contact holes from the cylinders 32a.

[0051] For embodiments of the invention, there are control parameters that affect the generation of structures. Template shape parameters includes thickness, distance and slope. The template thickness may be on the order of 50-800 nm, for example. By way of further example, the template thickness may be on the order of 50- 100 nm, but may in some cases vary up to 600-800 nm, m the embodiment described above in FIGS. 3 A-3L, the material comprising the template 30 is also the bottom layer of a dual-layer ARC, and so the thickness selected must also serve to minimize reflectivity. The distance parameter is the distance that the template comes in proximity to the desired locations of the contact holes (e.g., 1.8 -2.5 L 0 , where L 0 is the characteristic length of the BCP). The slope parameter refers to the sidewall slope of the template, for example, 80-90 degrees.

[Θ052] Topography shape parameters include height, width and slope. The topography height may be on the order of 1-25 nm, for example. By way of further example, the topography height may be 10-20 nm, or 15-25 nm, or around 15 nm. The topography width may be on the order of 15-25 nm, for example. The slope parameter refers to the sidewall slope of the topography, for example, 80-90 degrees.

[8053] Other control parameters relate to surface characteristics. The chemical activity of the template sidewall may be on the order of 0.2- 1.6 J/cm 2 , for example. The chemical activity of the topography may be on the order of 0.1 -0.5 J/cm 2 , for example. The chemical activity of the bottom surface of the template may be on the order of 0.2-2.0 J/cnr\ for example.

[8054] Other control parameters relate to the BCP. The percentage of the minority block of the BCP may be 25-40%, for example. The characteristic length, L 0 , of the BCP is specifically selected to give the size of hole that is desired for a particular application, i.e., the target size of the contact hole. χΝ of the BCP (product of chi parameter with degree of polymerization) may ¬ be on the order of 15-30 for PS/PMMA BCPs. As the χ of the BCP increases, it allows for a lower N to be used and still remain above the order/disorder transition. Lower N then translates to smaller features. The range quoted is based on the simulations done with PS/PMMA BCPs. For higher χ BCPs, the value for χΝ might exceed this range. The fill height of the BCP within the template may be on the order of 50-100%, for example, 70- 100%, 70-90%, or 80- 100%,

[8055] Since the invention utilizes both grapho- and chemo-epitaxy to drive the assembly of the block copolymer, a balance between the two driving forces is required. The template shape and topography shape dictate how much volume there is for the BCP to fill, and this will change as the structure of interest changes. The degree of chemo-epitaxy required will depend on how much grapho-epitaxy impetus is present, and so it too will depend on the geometry of the shape under consideration. Ultimately, it is believed that the v olume of the shape, the percentage of the minority phase in the block copolymer, the L 0 of the block copolymer and the height above the template are related by a thermodynamic phenomenon and can be optimized to give the desired "graphical influence." The "chemical influence" needed will then be based on that. The V-shaped simulations discussed above provide an indication of the usable ranges for the control parameters, which are provided above by way of examples, but not limitations.

[8(556] As described above, one of the methods for creating the template 30 is to etch it into the planarization layer 22 of a dual layer BARC 26, which planarization layer is typically a spin- o carbo film, or a CVD alpha-carbon film. In either ease, it is largely organic, and etch transfer into this organic film is accomplished with an oxygen-containing etch process. The use of oxygen in this step leaves the exposed surfaces oxidized, which in turn makes them hydi'ophilic and preferentially favorable to wetting by PMMA in a PS-PMMA block copolymer.

[8057] Because the surfaces (sidewails, topography, and trench floor) are so favorable for PMMA-wettiiig, most of PMMA in the BCP goes to wet these surfaces, and relatively little material is avaiiabie for the formation of the morphology that would connect to the topography 20. Thus, in accordance with an embodiment of the invention, if the surfaces are shifted to a weaker PMMA wetting condition, the morphology changes dramatically by making more PMMA avaiiabie to connect to the topography 20. Specifically, there is less PMMA along the sidewails, and more a vaiiabie to form the connected morphology within the center of the template 30. This morphology has individual access points to the topography 20 through the PMMA structure, and with some engineering during the etch transfer, this morphology can be used to individually cut the lines 10 that are accessed through the connection.

[0058] Tn accordance with one embodiment using chemo-epitaxy in combination with grapho-epitaxy, a method to create a template 30 that has this low-wetting characteristic includes reverting to the natural state of the template 30 after the transfer etch (that uses oxidizing agent) to a less oxidized condition. One implementation is to change etch gases in the chamber to a more reductive chemistry, strike a plasma with low bias, and allow the plasma to bring the oxidative surface back toward a more neutral state (but still PMMA-wetting to a low degree). One example of a reducing chemistry is ¾. The H 2 plasma could be used, for example, during the over- etch. The reducing plasma can also be accomplished by moving to a separate chamber where the reducing plasma is struck. Reverting to the less oxidized condition can also be completed through wet processing. The wet chemical would likewise need to have reducing capability. While reductive chemistry is more difficult to accomplish in wet systems, since wet systems generally use aqueous delivery methods that typically include a lot of dissolved oxygen, it is conceived that HF can be used as a reducing wet chemistry, although it would have to be dilute to avoid destruction of the template or the underlying topography.

[0059] n an alternative embodiment, rather than treating the surfaces to make them less PMMA-wettab!e, the surfaces are treated to make them PS-wettable, i.e., the polarity is reversed. In accordance with this embodiment, the interior of the template 30 is coated with a PS-OH brush material. This brush can graft itself to the hydrophilic surface via the QH-portion, and the PS-portion of the polymer points away from the graft to give a PS-wettable surface. Then, when a PS-PMMA BCP is applied to the template 30, it is wetted by a PS layer instead of a PMMA layer. Fundamentally, this allows for all of the PMMA in the BCP to go toward creation of the morphology that will cut the lines 10.

[0060] Simulations were completed to determine the impact of changing the surface in this way. Energetically, the PMMA-PS-surface interaction was negative, and this resulted in a repulsion between the surfaces and the PMMA domain. Therefore, the PMMA domain rose over each line 10 of the topography 20 and tried to nestle itself between the lines 10 without touching them. This dual behavior, in addition to a repulsion from the sidewalis, led to self alignment of this structure over the topography. Fortuitously, the resulting topography in this scenario is such that the morphology opens to the air above each of the lines. The PMMA can then be wet developed out of this structure by first exposing to UV-light, and then applying a suitable organic solvent, as may be determined by persons of ordinary skill in the art. By way of example and not limitation, suitable solvents may include acetone, methanol, methyl ethyl ketone, methyl isobutyl ketone, 2-heptanone, n-butyl acetate, gamma hutyrolaetone, ethyl lactate, and PGMEA. Next, a directional etch can be used to use the open access points to cut the lines 10, and the cuts that are made will be more precise than could be made with the lithographical template alone. This provides for end to end spacing of lines that is more compact and favorable for transistor packing on silicon.

[0061] In practice, there are various paths of implementation for application of the PS-OH brush and its grafting to the surfaces. However, the implementation should take into account that the sidewalis, bottom surface, and topography may ail be created out of different materials, which affects the wetting and grafting of the PS-OH brush to the different material surfaces. One method for apply ing the brush to the surfaces that have different material compositions is using a DCS cure. This process applies a very thin layer of silicon on (op of ail surfaces. That silicon layer is then oxidized by exposure to air or moisture. Oxidation may alternatively be accomplished with a plasma, by an in-situ ozonation created with 172nm light + oxygen, or by a wet process where ozone is bubbled through water and applied to the wafer as an oxidation agent. TMAH-based photoresist developer may also be used to create terminating hydroxy! groups on the silicon surfaces. This treatment creates a more uniform hydroxy-terminated surface that can then be more uniformly "polarity-reversed" as the PS-OH brush is grafted to it.

[8(562] While the present invention has been illustrated by a description of one or more embodiments thereof and while these embodiments have been described in considerable detail, they are not intended to restrict or in any way limit the scope of the appended claims to such detail. Additional alternatives, advantages and/or modifications will readily appear to those skilled in ihe art. For example, it may be possible to control the template topography and surfaces so that the cylinders land between the topographic lines instead of on top of them. A lso, similar to the first disclosed embodiment, the application of the above method can be envisioned for use in situations other than attachment and cutting of an array of lines. The invention in its broader aspects is therefore not limited to the specific details, representative apparatus and method, and illustrative examples shown and described. Accordingly, departures may be made from such details without departing from the scope of the general inventive concept.




 
Previous Patent: BIAS-VARIANT PHOTOMULTIIPLIER TUBE

Next Patent: TIRE DIGITIZER