Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
AN IMAGE SENSOR, SENSING METHOD AND LITHOGRAPHIC APPARATUS
Document Type and Number:
WIPO Patent Application WO/2016/058769
Kind Code:
A1
Abstract:
An image sensor for sensing a region of an image projected via a lithographic patterning device, comprises a sensor mask array and an array of sensor elements, wherein the sensor mask array comprises an array of sensor masks, each sensor mask positioned over a respective at least one of the sensor elements, each of the sensor masks has a pattern of apertures, and the aperture pattern of at least one of the sensor masks is different to the aperture pattern of at least one other of the sensor masks.

Inventors:
PANDEY NITESH (NL)
STAS ROLAND JOHANNES WILHELMUS (NL)
Application Number:
PCT/EP2015/071155
Publication Date:
April 21, 2016
Filing Date:
September 16, 2015
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
ASML NETHERLANDS BV (NL)
International Classes:
G03F7/20; G01J1/04; G01T1/29
Foreign References:
US20100020303A12010-01-28
US20090153830A12009-06-18
Other References:
RYAN MIYAKAWA ET AL: "Coded aperture detector: an image sensor with sub 20-nm pixel resolution", OPTICS EXPRESS, vol. 22, no. 16, 11 August 2014 (2014-08-11), pages 19803 - 109809, XP055232040, DOI: 10.1364/OE.22.01914119803
Attorney, Agent or Firm:
PJANOVIC, Ilija (P.O. Box 324, AH Veldhoven, NL)
Download PDF:
Claims:
CLAIMS:

1 . An image sensor for sensing a region of an image projected via a lithographic patterning device, comprising:

a sensor mask array and an array of sensor elements, wherein:

the sensor mask array comprises an array of sensor masks, each sensor mask positioned over a respective at least one of the sensor elements;

each of the sensor masks has a pattern of apertures, and the aperture pattern of at least one of the sensor masks is different to the aperture pattern of at least one other of the sensor masks.

2. An image sensor according to Claim 1 , wherein for each of the sensor masks, the aperture pattern comprises a coded aperture pattern. 3. An image sensor according to Claim 1 or 2, wherein the aperture pattern for at least one of the sensor masks is substantially orthogonal to an aperture pattern for at least one other of the sensor masks.

4. An image sensor according to any preceding claim, wherein the aperture patterns for at least some of the sensor masks are in accordance with a cyclic difference set.

5. An image sensor according to any preceding claim, wherein at least some of the sensor masks comprise a Hadamard encoding mask and/or are encoded substantially in accordance with an S-matrix which can be derived from a Hadamard matrix.

6. An image sensor according to any preceding claim, wherein at least some of the sensor masks comprise a uniformly redundant array of apertures.

7. An image sensor according to any preceding claim, configured such that in operation when installed in a lithographic apparatus comprising the lithographic patterning device, relative movement of the image sensor and the projected image provides sampling of the region of the image by a plurality of different ones of the sensor elements, at least some of those sensor elements having sensor masks having different aperture patterns to at least some other of those sensor elements.

8. An image sensor according to any preceding claim, configured to obtain a plurality of sensor measurements by sampling of the region of the image by each of a plurality of the sensor elements, wherein the aperture patterns of the sensor masks are such that the sensor measurements can be processed together to obtain image data representative of the sampled region. 9. An image sensor according to Claim 8, wherein the aperture patterns of the sensor masks are such that the sensor measurements can be processed together to obtain the image data by convolving the sensor measurements with a decoding matrix. 10. An image sensor according to Claim 8 or 9, wherein the aperture patterns of the sensor masks are such that the sensor measurements can be processed together to obtain the image data for the sampled region by performing a cyclic convolution process with respect to the sensor measurements. 1 1 . An image sensor according to any preceding claim, wherein for each of the sensor masks, substantially all of the apertures have a maximum width that is greater than 50nm, optionally greater than 10Onm.

12. An image sensor according to any preceding claim, wherein for each of the sensor masks, at least some of the apertures have associated fluorescent material

13. An image sensor according to any preceding claim, wherein each of the sensor masks is located substantially adjacent to an associated one of the sensor elements.

14. An image sensor according to any preceding claim, wherein each of the sensor masks is located within a separation distance of an associated one of the sensor elements, and the separation distances is less than 1 micron, optionally less than 500nm, optionally less than 1 00nm.

15. An image sensor according to any preceding claim, for sensing electromagnetic radiation having a wavelength in a range 4nm to 500nm, optionally in a range 4nm to 25nm, optionally in a range 1 00nm to 400nm, optionally substantially equal to one of 365, 248, 193, 157 or 126 nm.

16. A method of sensing a region of an image projected via a lithographic patterning device, comprising:- positioning an image sensor such that it receives at least part of the image projected via the lithographic patterning device, wherein:- the image sensor comprises a sensor mask array and an array of sensor elements, the sensor mask array comprising an array of sensor masks, each sensor mask positioned over a respective at least one of the sensor elements;

each of the sensor masks has a pattern of apertures, and the aperture pattern of at least one of the sensor masks is different to the aperture pattern of at least one other of the sensor masks; and

the method further comprises:- providing relative movement of the image sensor and the projected image such that at least said region of the image is sampled by a plurality of different ones of the sensor elements, at least some of those sensor elements having sensor masks having different aperture patterns to at least some other of those sensor elements; and

for each of the sampled regions of the image, processing together sensor measurements obtained by the sampling of the region of the image by the plurality of different ones of the sensor elements, thereby to obtain image data representative of the sampled region.

17. A method according to Claim 16, wherein the sensor comprises a sensor according to any of Claims 1 to 15. 18. A method according to Claim 16 or 17, wherein the processing together of the sensor measurements comprises convolving the sensor measurements with a decoding matrix.

19. A method according to any of Claims 16 to 18, wherein the processing together of the sensor measurements comprises performing a cyclic convolution process. 20. A method according to any of Claims 16 to 19, wherein the image data comprises a representation of said region of the image projected via the lithographic patterning device.

21 . A method according to any of Claims 16 to 20, wherein providing relative movement of the image sensor and the projected image comprises providing relative lateral movement in a plane of the image sensor and/or the projected image.

22. A method according to any of Claims 1 6 to 21 , further comprising providing relative movement of the image sensor and the projected image in a direction substantially perpendicular to a plane of the image sensor and/or the projected image, thereby to sample the region of the image at a plurality of offset positions relative to a plane of the projected image.

23. A method according to any of Claims 16 to 22, comprising installing the sensor in a lithographic apparatus such that the sensor masks of the sensor are substantially at a focal plane of a projection system of the lithographic apparatus that in operation projects the image via the lithographic patterning device.

24. A method according to any of Claims 16 to 23 comprising installing the sensor on a substrate table of a lithographic apparatus that includes the lithographic patterning device, to sample said region of the image.

25. A lithographic apparatus comprising:

an illumination system for providing a beam of radiation;

a support structure for supporting a patterning device, the patterning device serving to impart the radiation beam with a pattern in its cross-section;

a substrate table for holding a substrate;

a projection system for projecting the patterned radiation beam to provide an image at the substrate table; and a sensor according to any of Claims 1 to 15 installed on the substrate table for sensing at least a region of the image.

Description:
An image sensor, sensing method and lithographic apparatus

CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application claims priority of EP application 14188723.2 which was filed on 14 October 2014 and which is incorporated herein in its entirety by reference.

FIELD

[0002] The present invention relates to an image sensor for sensing an image projected via a patterning device by a lithographic apparatus, and to a method of detecting such an image using the image sensor.

BACKGROUND

[0003] A lithographic apparatus is a machine that applies a desired pattern onto a target portion of a substrate. Lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that circumstance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising part of, one or several dies) on a substrate (e.g. a silicon wafer) that has a layer of radiation-sensitive material (resist). In general, a single substrate will contain a network of adjacent target portions that are successively exposed. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion in one go, and so-called scanners, in which each target portion is irradiated by scanning the pattern through the beam in a given direction (the "scanning"-direction) while synchronously scanning the substrate parallel or anti parallel to this direction.

[0004] It is desirable to be able to monitor or predict actual performance of the lithographic apparatus, in particular the actual image produced by projection of radiation through the mask, which in turn determines at least in part the pattern that may be formed on the substrate by a given mask. The pattern formed on the substrate can be subject to various factors that can vary during operation of the lithographic apparatus. [0005] For example, there can be reticle (mask) heating during operation of the lithographic apparatus that can produce distortion of the projected image, which in turn causes distortion of the formed pattern. The reticle distortion is in general nonuniform over the reticle's area and hence this results in a non-uniform distortion of the image. There can also be distortions in the image due to the heating of the lens. Such distortions are dynamic in nature as the lens and the reticle heat up during the use of the lithography machine. There can also be vibration effects, or effects arising from variation of properties or alignments, or operations, of mechanical and optical components in practice.

[0006] It is known to perform various metrology processes to determine whether the performance of the lithographic apparatus remains within its required specification. For the next generation of lithography, high resolution features of the order of 10-20nm are required. This results in very tight alignment and focus control requirements. This means that tighter control over imaging quality and operating parameters of the lithographic apparatus, as well as other parts of the process control loop, may be required. Some of the challenges encountered or anticipated for current or future lithography techniques are described in International Technology Roadmap for Semiconductors, 2013 edition, Lithography Summary.

[0007] Various techniques are known for measuring or predicting performance of the lithographic apparatus, and the results of such measurements or predictions can be used to set or vary operating parameters.

[0008] A typical reticle consists of patterned areas which correspond to the device structure as well as patterned marks which are used for metrology and for image alignment and focussing control for example. For instance it is known to include markers alongside the desired projection pattern of the reticle. The image produced by the markers at the substrate table by projection of electromagnetic radiation by projection optics of the lithographic apparatus can be measured, either directly by a sensor or by measurement of a pattern corresponding to the markers formed on a substrate at the substrate table. The image corresponding to the markers can be used to determine a likely level of distortion of features of the lithographic pattern caused by heating of the reticle. However, the markers are positioned at one side of the reticle or at the edges of the main lithographic pattern and heating-induced distortion effects may be different towards the centre of the reticle where the mask pattern is located. Furthermore, features of the markers are often of a different scale (for example, several microns across) to the scale of individual features of the mask (for example down to around 10Onm or less across) and any distortion of features of the scale of the markers may not always be an accurate guide to the distortion of features of the scale of the mask features. For some particular lithographic systems operating at a wavelength of 193nm, product features are laid down on the wafer by projection of reticle features of the order of 320 nm across, to produce product features on the wafer of the order of 80nm across (following a four times demagnification occurring between the reticle and wafer). There are additional features known as assist features deposited on the wafer that are used in optical proximity correction and that are of the order of 13 nm to 25 nm across on the wafer (obtained by projection and associated four times demagnification of corresponding reticle features of the order of 50 nm to 100 nm across). For lithographic systems configured to operate at EUV wavelengths product features deposited on the wafer may be of the order of 10-1 00 nm across.

[0009] Although an estimate of the heating-induced distortion that may be present at the centre of the reticle for a given distortion of the marker patterns can be obtained, there is a limit to the accuracy of such estimates. If the distortion of the product pattern is interpolated from measurements done from the marks present at the edge of the patterns, an interpolation error is made. Reticle heating effects can lead to overlay offsets (e.g. offsets between sequential layers in the deposited pattern) of 3-4nm in some cases, even when the effects of reticle heating are estimated using marker techniques, or otherwise estimated using a computer model of the reticle heating.

[0010] Measurements performed on actual processed wafers can determine what pattern was deposited in practice by a particular lithographic apparatus and reticle. However, the pattern deposited will depend on other factors in addition to the image formed by the reticle at the image plane of the apparatus. For example, features of the resist, the interaction between the resist and the applied radiation, and subsequent processing of the wafer may also affect the resulting pattern.

[0011] It has been suggested to provide aerial image sensors that are located at the substrate table in place of a wafer and that can be used to measure directly the projected image from the reticle. It is desirable to provide an improved, faster or at least alternative sensor that can measure directly the projected image from the reticle. SUMMARY

[0012] According to an aspect of the invention, there is provided an image sensor for sensing a region of an image projected via a lithographic patterning device, comprising a sensor mask array and an array of sensor elements, wherein the sensor mask array comprises an array of sensor masks, each sensor mask positioned over a respective at least one of the sensor elements, each of the sensor masks has a pattern of apertures, and the aperture pattern of at least one of the sensor masks is different to the aperture pattern of at least one other of the sensor masks.

[0013] By providing a sensor mask array with sensor masks having different aperture patterns an image region may be sampled directly, using the sensor elements associated with the sensor masks, with increased resolution and/or increased signal to noise ratio.

[0014] For each of the sensor masks, the aperture pattern may comprise a aperture pattern which is based on a pre-defined binary sequence referred as a code and the resulting mask may be referred to as a coded aperture. The pattern may be a two dimensional arrangement of open apertures and non-apertures or closed apertures, or a one dimensional arrangement of open apertures and non-apertures or closed apertures. Each of the sensor masks may be positioned over a respective single one of the sensor elements.

[0015] The code on which the aperture pattern for at least one of sensor masks is based on may be substantially orthogonal to the code corresponding to the aperture pattern for at least one other of the sensor masks. The term orthogonal here means that the scalar dot product between the codes describing the two sensor masks is 0 or a constant.

[0016] The aperture patterns for at least some of the sensor masks may be in accordance with a cyclic difference set. Each sensor mask may comprise a cyclic difference set coded mask. Each or at least some of the sensor masks may represent different cyclic shifts of a common mask template.

[0017] At least some of the sensor masks may be encoded substantially in accordance with an S-matrix which is derived from a Hadamard matrix. Details of Hadamard matrices can be found, for example, in Hadamard Transform Optics, Martin Harwit, Elsevier, 2012. [0018] At least some of, or each, of the sensor masks may comprise a uniformly redundant array of apertures. At least some of, or each, of the sensor masks may comprise a NTHT (No Two Holes Touching) type of uniformly redundant array.

[0019] The area of the image region may be substantially the same as, or larger than, the area of each sensor element and/or the area of each sensor mask. The aperture patterns may be such that substantially all parts of the image region may be aligned with at least one aperture of at least one of the sensor masks when the image region is aligned in turn with each of the masks.

[0020] The image sensor may be configured such that in operation when installed in a lithographic apparatus comprising the lithographic patterning device, relative movement of the image sensor and the projected image provides sampling of the region of the image by a plurality of different ones of the sensor elements, at least some of those sensor elements having sensor masks having different aperture patterns to at least some other of those sensor elements.

[0021] The image sensor may be configured to obtain a plurality of sensor measurement signals by sampling of the region of the image by each of a plurality of the sensor elements, and the aperture patterns of the sensor mask may be such that the sensor measurement signals can be processed together to obtain image data for the sampled region. The image data may comprise a two-dimensional array of pixels. Each pixel may represent image intensity at a respective position.

[0022] The aperture patterns of the sensor mask may be such that the sensor measurement signals can be processed together to obtain image data for the sampled region by convolving the sensor measurement signals with a decoding matrix.

[0023] The aperture patterns of the sensor masks may be such that the sensor measurement signals can be processed together to obtain image data for the sampled region by performing a cyclic convolution process with respect to the sensor measurement signals.

[0024] The image data may comprise a representation of said region of the image projected via the lithographic patterning device.

[0025] For each of the sensor masks, substantially all of the apertures may have a maximum width that is greater than 50nm, optionally greater than 100nm. [0026] For each of the sensor masks, substantially all of the apertures may have a maximum width in a range 50nm to 200nm, optionally in a range 80nm to 150nm, optionally around " l OOnm.

[0027] For each of the sensor masks, at least some of the apertures may have associated fluorescent material. Thus, electromagnetic radiation forming the image may cause fluorescence in the associated fluorescent material when it passes through said at least some of the apertures. The sensor elements may be configured to detect radiation fluoresced by the fluorescent material.

[0028] The electromagnetic radiation may be of any suitable wavelength in the electro-magnetic spectrum.

[0029] The positioning of the image sensor may comprise positioning the image sensor substantially at an image plane of a lithographic apparatus that includes the lithographic mask.

[0030] The positioning of the image sensor may comprise positioning the image sensor on a substrate table or at a substrate table position of a lithographic apparatus that includes the lithographic mask.

[0031] Each of the sensor masks may be located substantially adjacent to an associated one of the sensor elements.

[0032] Each of the sensor masks may be located within a separation distance of an associated one of the sensor elements, and the separation distances may be less than 1 micron, optionally less than 500nm, optionally less than 100nm.

[0033] The image sensor may be for sensing electromagnetic radiation having a wavelength in a range 4nm to 500nm, optionally in a range 4nm to 25nm, optionally in a range 1 00nm to 400nm, optionally substantially equal to one of 365, 248, 193, 157 or 126 nm.

[0034] In a further aspect of the invention, which may be provided independently, there is provided a method of sensing a region of an image projected via a lithographic patterning device, comprising positioning an image sensor such that it receives at least part of the image projected via the lithographic patterning device, wherein the image sensor comprises a sensor mask array and an array of sensor elements, the sensor mask array comprising an array of sensor masks, each sensor mask positioned over a respective at least one of the sensor elements, each of the sensor masks has a pattern of apertures, and the aperture pattern of at least one of the sensor masks is different to the aperture pattern of at least one other of the sensor masks, and the method further comprises:- providing relative movement of the image sensor and the projected image such that at least said region of the image is sampled by a plurality of different ones of the sensor elements, at least some of those sensor elements having sensor masks having different aperture patterns to at least some other of those sensor elements, and for each of the sampled regions of the image, processing together sensor measurements obtained by the sampling of the region of the image by the plurality of different ones of the sensor elements, thereby to obtain image data representative of the sampled region.

[0035] The sensor may comprise any sensor as claimed or described herein.

[0036] The processing together of the sensor measurements may comprise convolving the sensor measurements with a decoding matrix.

[0037] The processing together of the sensor measurements may comprise performing a cyclic convolution process.

[0038] The image data may comprise a representation of said region of the image projected via the lithographic patterning device.

[0039] Providing relative movement of the image sensor and the projected image may comprise providing relative lateral movement in a plane of the image sensor and/or the projected image.

[0040] The method may further comprise providing further relative movement of the image sensor and the projected image in a direction substantially perpendicular to a plane of the image sensor and/or the projected image, thereby to sample the region of the image at a plurality of offset positions relative to the projected image plane. The method may comprise determining a depth of field of the image, from the image data obtaining by sampling the image at the plurality of offset positions. A variation of depth of field as a function of lateral position may also be determined from the image data.

[0041] The method may comprise installing the sensor in a lithographic apparatus such that the sensor masks of the sensor are substantially at a focal plane of a projection system of the lithographic apparatus that in operation projects the image via the lithographic patterning device.

[0042] The method may comprise installing the sensor on a substrate table of a lithographic apparatus that includes the lithographic patterning device, to sample said region of the image. [0043] In a further aspect of the invention, which may be provided independently, there is provided a lithographic apparatus comprising an illumination system for providing a beam of radiation, a support structure for supporting a patterning device, the patterning device serving to impart the radiation beam with a pattern in its cross- section, a substrate table for holding a substrate, a projection system for projecting the patterned radiation beam to provide an image at the substrate table, and a sensor as claimed or described herein installed on the substrate table for sensing at least a region of the image.

[0044] Features in one aspect may be provided as features in any other aspect as appropriate. For example, features of any one of a sensor, apparatus or method may be provided as features of any one other of a sensor, apparatus or method. Any feature or features in one aspect may be provided in combination with any suitable feature or features in any other aspect. BRIEF DESCRIPTION OF THE DRAWINGS

[0045] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:

Figure 1 depicts a lithographic apparatus according to an embodiment of the invention;

Figure 2 is a schematic illustration of a sensor apparatus in accordance with an embodiment;

Figure 3 is an alternative view of a sensor shown in Figure 2;

Figure 4 is an illustration of a sensor mask of a sensor mask array of the sensor of Figure 3;

Figure 5A is an illustration of an alternative sensor mask that can be used in a sensor mask array of the sensor apparatus of Figure 2;

Figure 5B is a schematic illustration of the sampling of an image region by a plurality of sensor elements and associated sensor masks;

- Figure 5C is a plot of sensor measurements obtained by the sampling illustrated in Figure 5B;

Figure 5D is an image obtained by displaying image data obtained by processing together sensor measurements obtained from the sampling of Figure 5B; Figure 6 is a schematic illustration of a sensor element and associated sensor mask that includes fluorescent material, according to an embodiment;

Figure 7 shows plots of relative intensity of radiation transmitted through an aperture as a function of aperture size; and

- Figure 8 is a plot of r.m.s. error in a sampled image acquired using an embodiment, as a function of acquisition time, according to a simulation.

DETAILED DESCRIPTION

[0046] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal displays (LCDs), thin film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms "wafer" or "die" herein may be considered as synonymous with the more general terms "substrate" or "target portion", respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist) or a metrology or inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

[0047] The terms "radiation" and "beam" used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of 365, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams.

[0048] The term "patterning device" used herein should be broadly interpreted as referring to a device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.

[0049] A patterning device may be transmissive or reflective. Examples of patterning device include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions; in this manner, the reflected beam is patterned.

[0050] The support structure holds the patterning device. It holds the patterning device in a way depending on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support can use mechanical clamping, vacuum, or other clamping techniques, for example electrostatic clamping under vacuum conditions. The support structure may be a frame or a table, for example, which may be fixed or movable as required and which may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms "reticle" or "mask" herein may be considered synonymous with the more general term "patterning device".

[0051] The term "projection system" used herein should be broadly interpreted as encompassing various types of projection system, including refractive optical systems, reflective optical systems, and catadioptric optical systems, as appropriate for example for the exposure radiation being used, or for other factors such as the use of an immersion fluid or the use of a vacuum. Any use of the term "projection lens" herein may be considered as synonymous with the more general term "projection system".

[0052] The illumination system may also encompass various types of optical components, including refractive, reflective, and catadioptric optical components for directing, shaping, or controlling the beam of radiation, and such components may also be referred to below, collectively or singularly, as a "lens".

[0053] The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more support structures). In such "multiple stage" machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.

[0054] The lithographic apparatus may also be of a type wherein the substrate is immersed in a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the final element of the projection system and the substrate. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems.

[0055] Figure 1 schematically depicts a lithographic apparatus according to a particular embodiment of the invention. The apparatus comprises:

- an illumination system (illuminator) IL to condition a beam PB of radiation (e.g. UV radiation or EUV radiation).

a support structure (e.g. a support structure) MT to support a patterning device (e.g. a mask) MA and connected to first positioning device PM to accurately position the patterning device with respect to item PL;

- a substrate table (e.g. a wafer table) WT for holding a substrate (e.g. a resist coated wafer) W and connected to second positioning device PW for accurately positioning the substrate with respect to item PL; and

a projection system (e.g. a refractive projection lens) PL configured to image a pattern imparted to the radiation beam PB by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.

[0056] As here depicted, the apparatus is of a transmissive type (e.g. employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above).

[0057] The illuminator IL receives a beam of radiation from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising for example suitable directing mirrors and/or a beam expander. In other cases the source may be integral part of the apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.

[0058] The illuminator IL may comprise adjusting means AM for adjusting the angular intensity distribution of the beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL generally comprises various other components, such as an integrator IN and a condenser CO. The illuminator provides a conditioned beam of radiation PB, having a desired uniformity and intensity distribution in its cross section.

[0059] The radiation beam PB is incident on the patterning device (e.g. mask) MA, which is held on the support structure MT. Having traversed the patterning device MA, the beam PB passes through the lens PL, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioning device PW and position sensor IF (e.g. an interferometric device), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning device PM and another position sensor (which is not explicitly depicted in Figure 1 ) can be used to accurately position the patterning device MA with respect to the path of the beam PB, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the object tables MT and WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the positioning device PM and PW. However, in the case of a stepper (as opposed to a scanner) the support structure MT may be connected to a short stroke actuator only, or may be fixed. Patterning device MA and substrate W may be aligned using patterning device alignment marks M1 , M2 and substrate alignment marks P1 , P2.

[0060] The depicted apparatus can be used in the following preferred modes: 1 . In step mode, the support structure MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the beam PB is projected onto a target portion C in one go (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.

2. In scan mode, the support structure MT and the substrate table WT are scanned synchronously while a pattern imparted to the beam PB is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure MT is determined by the (de- )magnification and image reversal characteristics of the projection system PL. In scan mode, the maximum size of the exposure field limits the width (in the non- scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.

3. In another mode, the support structure MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the beam PB is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.

[0061] Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.

[0062] It is a feature of embodiments that an aerial image sensor may be positioned on the wafer table WT of a lithographic apparatus, such as that of Figure 1 , in place of the wafer or substrate and used to sense the image projected from by the apparatus via the patterning device MA.

[0063] An image sensor according to an embodiment is illustrated schematically in Figure 2. In this case seven separate image sensors 2 are provided in a housing

4, each for sensing a different part of a projected image. One of the sensors 2 is shown in exploded form in Figure 2 and comprises an array 6 of sensor masks 8 positioned over an array 1 0 of sensor elements 12. Any suitable array of sensor elements can be used, for example a 2D or 1 D CCD/CMOS array, or 2D or 1 D array of photodetectors.

[0064] A further, face-on, view of one of the sensors 2 is shown in Figure 3.

[0065] Each of the sensor elements is configured such that it produces a measurement of a magnitude that is dependent on the amount of radiation having a wavelength in an appropriate range that falls on the sensor element. The array of sensor elements may be a known sensor array and includes appropriate circuitry for obtaining detection signals from the sensor elements, for example filters, integrators, sample and hold circuitry. [0066] Processing of the detection signals from each of the detection elements is performed by a processing resource. In some embodiments the processing resource is in the form of on-board circuitry, for example an ASIC or integrated circuit. In other embodiments the processing resource is in the form of an external processing resource, for instance a suitably programmed general purpose computer or a control computer of the lithographic apparatus. The processing of the detection signals in particular embodiments is described in more detail below.

[0067] It is a feature of the embodiment of Figures 2 and 3 that each of the sensor masks 8 comprises a coded aperture pattern.

[0068] One of the sensor masks 8 is shown schematically in Figure 4. Apertures in the mask, which allow passage of radiation of the image to the associated sensor element, are shown in white. Regions of the mask that block radiation are shown in black. An alternative sensor mask that is used as part of a mask array in the apparatus of Figure 2 is shown schematically in Figure 5A. The mask of Figure 5A is of size 4.6 μιη x 4.6μιη, and has an effectively open area that is 12% of the total area of the mask.

[0069] In some embodiments all of the apertures in the mask are of the same size, for example circular apertures of the same size. In such embodiments the apertures of the sensor masks of Figure 4 and 5A comprise an arrangement of an appropriate number of the circular or other shaped apertures side-by-side but not touching, for example in regular square or rectangular patterns to approximate the aperture shapes shown in Figure 4. Such apertures are shown in Figure 5A

[0070] It is a feature of embodiments that at least some of the sensor mask aperture patterns for different sensor elements are different.

[0071] In the case of a sensor 2 of the embodiments of Figures 2 to 5, the aperture pattern for each sensor mask 8 of the sensor 2 is different to the aperture patterns for all of the other sensor masks 8, and each aperture pattern is a coded aperture pattern. In this case, each of the sensor masks represent different cyclic shifts of a common mask template. This template can be derived from cyclic difference sets. Each coded aperture pattern may be a uniformly redundant array (URA) and a cyclic difference set may dictate where open apertures are present in the URA. For instance, in a simple example, for a URA having seven entries, a cyclic difference set C={0,1 ,2,4} gives a URA of ={1 1 10100} (URA is open at 0 th , 1 st ,2 nd and 4 th location). [0072] The aperture pattern for a particular sensor mask can be represented by a binary matrix, with the entries in the matrix representing regularly spaced positions on the sensor mask, and each entry in the matrix being either a 1 or 0 depending on whether a corresponding position in the aperture is aperture or mask material (either allows radiation to pass or blocks radiation). Such a representation can be particular useful when selecting or designing coded aperture patterns for the different sensor masks,.

[0073] It is a feature of the embodiments of Figures 2 to 5 that, in operation, the same part of the projection image is sampled in turn by each of a plurality of the sensor elements each having a sensor mask with a different aperture pattern. The sensor 2 is moved relative to the projection image by operation of the positioning device PW to move the substrate table WT on which the sensor 2 is positioned.

[0074] The sampling of the same part of a projection image by different ones of the sensor elements is illustrated schematically in Figures 5B to 5D, for a mask array that includes the mask of Figure 5A. Figure 5B shows a region 20 of the projection image that is the same size of one of the sensor elements, with white parts of the image representing high radiation intensity and black parts of the image region representing low or zero radiation intensity. At the start of the process the image region 20 is positioned to coincide with a first one of the sensor masks 8, and the image region is sampled by the associated sensor element 12, by performance of a measurement of received radiation during a measurement period by the sensor element 12.

[0075] There is then a series of relative lateral movements of the image region 20 and the sensor 2 such that the image region is positioned to coincide with a series of further ones of the sensor masks 8', 8", 8"' etc in turn, and after each movement the image region is sampled by the corresponding sensor element 12', 1 2", 12"' etc. The relative lateral movements can be achieved by movement of the wafer table, although in alternative embodiments the relative lateral movements could be achieved by moving the image, for instance, by control of projection optics, as well as or instead of movement of the wafer table.

[0076] In the embodiments of Figures 2 to 5, the image region 20 is sampled by each one of the sensor elements of the sensor 2 in turn. In alternative embodiments, an image region may be sampled by only a sub-set of the sensor elements of the sensor. [0077] Figure 5C is a plot of the measurements of the image region 20 obtained by the sensor elements 12, 1 2', 12", 12"' etc of the sensor, with each of the circles 30 representing a sensor signal obtained by a respective one of the sensor elements from sampling of the image region 20. Each of the sensor measurements (projected intensity) is different as each of the aperture patterns of the corresponding sensor masks 8, 8', 8", 8"' etc is different and so will let different amounts of electromagnetic radiation of the appropriate wavelength from the image region 20 through to its corresponding sensor element.

[0078] At the next stage of the procedure, the sensor measurements from the different sensor elements 12, 12', 12", 1 2"' etc are processed together to obtain image data representative of the image region 20.

[0079] Considering the processing of the sensor measurements in detail, the size of the image region 20 that is measured can be taken as having a size L x L. The desired resolution of the image of the region 20 to be obtained is p x p. It can then be assumed that the region is to be represented by a discrete matrix A made up of L/p x L/p elements (e.g. pixels). For example, if the desired region of interest in the image is of size 1000nm x 1000nm and the resolution is 100nm x 100nm, then the image A can be represented by a discrete matrix of size 10 x 1 0.

[0080] As described above the region 20 is scanned with a series of patterned masks 8, 8', 8", 8"' etc. In this case, the series of patterned masks can be represented by a matrix My, where My is derived by cyclically shifting M by i and j pixels respectively. M may be considered to be a mask template or base mask, with the series of patterned masks representing a uniformly redundant array, a NTHT URA array, an S-matrix derived from Hadamard matrix or any binary arrangement of 1 s and 0s (open and closed apertures) for which the cyclic convolution property as described in Equation 3 holds.

[0081] A region of interest for imaging may, for example, be of a size 1 μιη x 1 μιη to 10μιη x 10μιη. A relevant resolution in this example may be of the order of 50nm- 200nm as this is the resolution of a high-end lithography machine. Thus values of i and j in this case may vary from 5 to 200. i.e as many as 200 x 200 sensor masks and sensor elements may be used in this example. Thus, in this case the detector array may have as many as 200 x 200 photodetecting elements and the corresponding sensor mask array can have 200 x 200 patterns each comprising of a cyclic shift of a mask template of 200 x 200 elements. [0082] The method can be described in the following steps. The sensor mask array is based on the mask template M. My is a cyclic shift of M by i and j elements in the x and y directions respectively. Thus M 0 o is equal to M itself. By providing a relative movement of the sensor mask array and the image region to be measured, the sensor masks are brought below the aerial image one by one. For each sensor mask, the photodetecting sensor element, detects signal D(i,j) which can be represented as:

D(i,j) = c.My.A (equation 1 ) where c is a scalar conversion factor from radiation intensity to sensor measurement units and the . represents the equivalent of a scalar dot product between the matrices, i.e this represents the sum of the products of the corresponding entries of the two matrices. It would be equal to the dot product if My and A are expressed as vectors

[0083] The acquired signal matrix D is a two dimensional matrix of the same dimensions as M. Since each sensor mask pattern My is a cyclically shifted version of M, the resulting signal matrix D equal to the cyclic convolution of M with A apart from a scalar factor, c. D=cM(g)A For the matrix M, the corresponding decoding matrix DM is given by:

DM = 2.M - 1 (equation 2)

[0084] When DM is again cyclically convolved with the detected matrix D, the result is cA, which is the intended representation of the received aerial image, as can be understood from the analysis in the following paragraph.

[0085] In this embodiment, M and DM are related by the property that:

M, ® DM = δ

Where ® represents a cyclic convolution.

δ = l for i=j=0 and δ = Ofor all other i and j.

= 0 , all other i and j

(equation 3) Now after acquiring D, it is cyclically convolved with DM, this time in a processing unit. The result, R can be written as

R=DM(g)D=DM(g) cM(g)A=c. DM(g)M(g)A

Using the property of M as described in equation 3, we can write R as

R=c. 5(g>A=cA

This shows that the retrieved image is a copy of the aerial image A apart from a scalar conversion factor. [0086] It can be understood that the measurements obtained by the sensor elements 12, 1 2', 12", 12"' etc as plotted in Figure 5C make up the detected signal D, with each of those measurements representing one of the elements D(i,j) of the detected signal D. The processing together of the sensor measurements in this case comprises cyclically convolving a matrix whose entries are the sensor measurements (D(i,j)) obtained by the different sensor elements, with a decoding matrix DM to obtain image data in the form of a data set representative of the image region 20 and consisting of L/p x L/p pixels. The image in this case is of area L x L (for example, 1 0 μιη x 10 μιη) and is resolved with pixels of area p x p (for example, 100 nm x 100 nm).

[0087] Figure 5D shows the measured aerial image obtained by the sampling of the image region 20 and subsequent processing of the sensor measurements as described above in relation to Figures 5B and 5C. The resolution of the image is substantially equal to the smallest opening in the sensor mask, which also corresponds to the width of the discrete delta function in equation 3.

[0088] It will be understood that the array of sensor elements 12 can sample a plurality of image regions simultaneously, not just a single image region 20, with each sensor element sampling a different one of the image regions at any one time. The processing described above in relation to Figures 5A to 5D can be performed for each of the sampled image regions to build up a larger image. In the embodiment of Figure 2, a number of different parts of an image (in this case, seven different parts of the image) can also be scanned simultaneously by the different sensors 2 of the embodiment of Figure 2.

[0089] By using a series of aperture masks, with different coded aperture patterns, and sampling an image region with sensor elements via the different aperture masks, a representation of the image region with increased resolution and a reduced impact of additive noise can be obtained,. The resulting image will have a larger signal to noise ratio when compared with a measurement made with a single scanning pinhole. By using aperture masks with multiple apertures, more photons can be received and the impact of additive noise like detector read-out noise, thermal noise can be considerably reduced and hence a better image can be obtained, whilst also reducing the acquisition time.

[0090] Considering noise in more detail, if N 0 is taken as representing the number of open apertures in a coded aperture mask, M (e.g. 1 s in the code sequence), N ph is taken as representing the number of photons transmitted through one aperture (e.g. "pinhole") during an acquisition time, and N c is the total number of elements in the code sequence (i.e. the total number of entries in M), then the mean number of photons received in forming the sampled coded image, D is N 0 x N ph , the standard deviation in the signal due to photon shot noise is represented by:

o m = jN 0 x N ph (equation 4)

and the standard deviation in the signal due to the detector noise is represented by o d .

In the case of the decoded image, following convolution of the measured signal matrix with the decoding matrix, the mean number of photons in the image is again N 0 x N ph , Since the convolution with DM, involves N c additive operations, the shot noise standard deviation is represented by:

= slN 0 x N ph x N c (equation 5)

And the detector noise standard deviation equals -[N c ~ o d . When this is compared to the image which would have been formed by single aperture instead of the presented technique of using multiple apertures arranged in a coded pattern, the mean number of photons would be N ph ,the shot noise standard deviation in the image would then be ^N ph and the detector noise standard deviation in the image would be o d .

Looking at the signal to noise ratios in the two cases, , the effect of detector noise in the case of using coded patterns decreases by J ~ N c ~ 12 and the shot noise increases by a factor of is approximately Ϊ in the case of using a code which has the number of closed apertures are half as many as the total apertures It can thus be seen that the effect of additive noise like detector noise decreases by a large amount in this measurement scheme.

[0091] The measured aerial image cA can be used for a variety of metrology, process control or design purposes. For example, comparing the measured aerial image cA to reticle design information (for example Graphical Design System (GDS) data) an aligned position (x,y,z) can be derived (using a computation model). Thus, the actual measured image can be compared to the image that would be expected to be formed based on reticle design information, and any adjustment in position that would have to be made to the measured image (e.g. by movement of the wafer table) to get it to best match the expected image from the reticle design information can be determined. A measurement of the aligned position at multiple locations inside the reticle image field allows correction of reticle-(heating-) induced overlay effects, for example by way of suitable offset movements (for example, velocity and/or acceleration changes) during a scan, and/or by dynamic adjustment of lens elements during exposure to compensate for distortion. The measured aerial image can be used to measure the reticle's distortion due to heating and clamping.

[0092] It is feature of some embodiments that the position of the aerial image sensor can be varied in a direction substantially perpendicular to the plane of the wafer table (e.g. in the z direction) thereby to measure the aerial image at different z- planes, e.g. different offset positions relative to a plane of the projected image in a direction substantially perpendicular to the plane of the projected image. By processing the resulting image data obtained from sampling the image at the different offset positions (e.g. heights) a depth of focus can be determined, for example by comparing image features obtained at the different offsets. The depth of field may vary as a function of lateral position, and the depth of field can be determined for individual product features at different locations of the image.

[0093] Although in the embodiments of Figures 2 to 5, the coded aperture masks are in accordance with a cyclic difference set, other suitable coded aperture masks can be used in alternative embodiments. For instance, in some embodiments, the coded aperture masks may comprise pseudo-random binary sequences, or may comprise masks representing S-matrices as used for Hadamard encoding. Suitable decoding matrices may be used in each case. URAs derived from cyclic difference sets and S-matrices as used for Hadamard encoding can be particularly suitable as they can comply with the orthogonality condition of equation 3 whilst having approximately half the elements being 1 s (corresponding to open apertures) and thus allowing passage of a large number of photons for each sampling.

[0094] It can be important to provide that substantially all parts of the image region under consideration, which may have substantially the same area as each of the sensor elements or each of the sensor masks, should be sampled by at least one of the sensor elements. Thus in some embodiments substantially all parts of the image region are aligned with at least one aperture of at least one of the sensor masks when the image region is aligned in turn with each of the masks. Thus, in such embodiments substantially all parts of the image regions are sampled via the sensor masks, and there are substantially no parts of the image region that are not sampled via at least one sensor mask.

[0095] In some embodiments, each of the sensor masks comprises a uniformly redundant array of apertures and/or an aperture pattern for at least one of the sensor masks is substantially orthogonal to an aperture pattern for at least one other of the sensor masks.

[0096] In the embodiments of Figures 2 to 5, the array of sensor elements is a two-dimensional array. In alternative embodiments, any suitable arrangement of sensor elements can be used, for example a one dimensional array or other arrangement. The use of masked arrays simultaneously sampling different image regions can provide for fast signal acquisition.

[0097] Any suitable arrangement can be used to provide relative movement between the image to be sampled and the sensor, to enable sampling of the image using different sensor elements via different sensor masks. For example, movement of the sensor using the wafer table, or any other suitable mechanism may be used in some embodiments. Alternatively or additionally, the image itself may be moved, for example by movement of the reticle and associated beam optics and/or by suitable movement of the image by alignment of mirrors and/or lenses.

[0098] For the sensor to give an accurate estimation of the aerial image, the intensity distribution at the best focus surface should to be 'frozen' and recorded. However, in some cases when the plane waves which form the aerial image at the best focus plane are allowed to travel through the apertures of the sensor masks (e.g. sensor masks 8, 8', 8" etc) and to the sensor elements (e.g. sensor elements 12, 12', 1 2" etc) they can acquire extra phase and amplitude shifts, for example due to diffractions, reflections or interferences or other effects occurring between entry of the plane waves to the aperture and registration of a sensor signal by the sensor element. The plane waves of the image can for example interfere to give a net electromagnetic distribution which can be different from the distribution at the best focus location.

[0099] In view of the possible occurrence of interference or other diffraction effects after passage through the apertures, in some embodiments a fluorescent material is provided at each aperture of the aperture masks, such that electromagnetic radiation of the image to be sampled interacts with the fluorescent material upon passing through an aperture of the mask, causing the fluorescent material to fluoresce. It is then the fluoresced radiation from the fluorescent material that is detected by the sensor element. As the fluoresced radiation is usually substantially incoherent, interference or similar effects may be reduced by use of the fluorescent material. A record of the exact intensity distribution at the best focus plane can be measured by using the fluorescent material in the aperture.

[00100] Figure 6 is a schematic illustration of a sensor element 12 and associated sensor mask 8 according to an embodiment. The sensor mask 8 can be used for each of the sensor masks 8 of the array 6 of the embodiments of Figures 2 to 5, in variants of those embodiments.

[00101] The sensor mask 8 of Figure 6 comprises a layer of chrome 42 overlaid on a layer of fluorescent material 44, with apertures in the chrome layer forming the sensor mask apertures. In this case the fluorescent material fills the aperture, but in other embodiments the fluorescent material may be only in a layer before the chrome or other aperture layer. The sensor mask may be formed by any suitable method, for example using successive deposition and etching processes. In the embodiment of Figure 6, the fluorescent material is Lumilass B (RTM) produced by Sumita Optical Glass, Inc but any suitable fluorescent material can be used in alternative embodiments. Lumilass B (RTM) is a type of fluorophosphate glass doped with Eu2+ ions, and has a refractive index of 1 .4476, a quantum efficiency of 0.86, and decay time of a few microseconds, and is polarization insensitive.

[00102] Simulations have been performed to determine a suitable minimum size for the apertures of the sensor mask, for example a suitable minimum size for the circular apertures that can be arranged side-by-side, for example in regular square or rectangular patterns, to provide the aperture patterns shown in Figure 4. Figure 7 shows the results of some such simulations, which represent transmission of plane wave light of wavelength 193nm through an aperture in a chrome layer for various angles of incidence. The reference to light is to electromagnetic radiation of the appropriate wavelength, and is not intended to be limited to light visible to the naked eye.

[00103] The bottom left figure of Figure 7 shows the simulated plane wave light arriving at, and passing through the aperture. The top left figure of Figure 7 is a plot of the relative intensity of radiation that passes through the aperture as a function of aperture diameter, for an angle of incidence of 0 degrees. In this case the relative intensity of radiation is the radiation flux that has passed through the aperture relative to the radiation flux received at the aperture. A relative intensity value of 1 would indicate complete transmission of all light received at the aperture. In this case, it can be seen that some of relative intensity values arising from the simulation are greater than 1 , arising from a focussing effect at some apertures and approximation error in approximating energy below the aperture.

[00104] The top right and bottom right plots of Figure 7 are similar to the top left plot but show relative intensity as a function of aperture width for angles of incidence of 70 degrees and 45 degrees respectively.

[00105] It can be seen from the plots that, based on the simulations, transmission becomes negligible for aperture size below 50 nm. A reasonable aperture size can be taken to be around 100 nm to obtain both good transmission properties and relative ease of manufacturability of the aperture masks.

[00106] Figure 8 shows a plot obtained from a further simulation, showing normalised r.m.s. error in a decoded aerial image obtained from simulated use of an embodiment as a function of acquisition time. The simulation assumes an illumination intensity of 1 W/cm 2 , a sensor efficiency of 0.001 (0.1 %), an aerial image resolution of 100nm and an aerial image size of 4.6μιη x 4.6μιη.

[00107] The sensors and aperture masks can be used with lithographic apparatus using any suitable wavelengths of electromagnetic radiation for lithographic purposes, for example wavelengths in a range 4 nm to 400 nm, for instance commonly used wavelengths in a range 100 nm to 400 nm such as 365 nm, 248 nm, 193 nm, 157 nm or 126 nm. The sensors and aperture masks can be used with lithographic apparatus using any suitable wavelengths of electromagnetic radiation in or near the extreme ultraviolet (EUV) range, for example wavelengths in the range 4nm to 25nm.

[00108] Embodiments provide sensors that can provide for mark-less sensing, e.g. that do not require specific marker structures on a reticle. Therefore, such sensors can perform measurements inside the reticle image field, which can provide valuable information for improving performance of the lithographic apparatus. The sensors can be used, for example, for optical proximity correction (OPC) verification or lens set-up procedures. They can also be used for resist model and process verification, as well as for determining reticle heating effects.

[00109] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The description is not intended to limit the invention.