Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
SYSTEMS, DEVICES, AND METHODS FOR GAS SENSING
Document Type and Number:
WIPO Patent Application WO/2019/236974
Kind Code:
A1
Abstract:
A monolithic, three-dimensional (3D) integrated circuit (IC) device includes a sensing layer, a memory layer, and a processing layer. The sensing layer includes a plurality of carbon nanotube field-effect transistors (CNFETs) that are functionalized with at least 50 functional materials to generate data in response to exposure to a gas. The memory layer stores the data generated by the plurality of CNFETs, and the processing layer identifies one or more components of the gas based on the data generated by the plurality of CNFETs.

Inventors:
SHULAKER MAX (US)
BISHOP MINDY (US)
Application Number:
PCT/US2019/036006
Publication Date:
December 12, 2019
Filing Date:
June 07, 2019
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
MASSACHUSETTS INST TECHNOLOGY (US)
SHULAKER MAX (US)
BISHOP MINDY DEANNA (US)
International Classes:
G01N27/414
Domestic Patent References:
WO2014113722A12014-07-24
Foreign References:
US20160123919A12016-05-05
US20080210987A12008-09-04
Other References:
SHULAKER, MAX M. ET AL.: "Three-dimensional integration of nanotechnologies for computing and data storage on a single chip", NATURE, vol. 547, no. 7661, 7 June 2017 (2017-06-07), pages 74 - 78, XP055659488
Attorney, Agent or Firm:
SUD, Dhruv et al. (US)
Download PDF:
Claims:
CLAIMS

1. A monolithic, three-dimensional (3D) integrated circuit (IC) comprising:

a sensing layer comprising carbon nanotube field-effect transistors (CNFETs) functionalized with at least 50 functional materials for sensing respective components of a gas; a memory layer, operably coupled to the sensing layer, to store data generated by the CNFETs in response to sensing at least one of the respective components of the gas; and

a processing layer, operably coupled to the memory layer, to identify the at least one of the respective components of the gas based on the data generated by the CNFETs.

2. The monolithic, 3D IC of claim 1, wherein the CNFETs comprise at least 1,000 CNFETs.

3. The monolithic, 3D IC of claim 1, wherein the CNFETs comprise CNFETs with functionalized carbon nanotubes.

4. The monolithic, 3D IC of claim 1, wherein the at least 50 functional materials include at least one of: deoxyribonucleic acid (DNA), metal coordination complexes, porphyrins, self- assembled monolayers (SAMs), polymers, pyrrole derivatives, phthalocyanines, or combinations thereof.

5. The monolithic, 3D IC of claim 1, wherein the at least one of the respective components of the gas comprises fentanyl and the 50 functional materials are collectively functionalized to detect fentanyl.

6. The monolithic, 3D IC of claim 1, wherein the CNFETs are configured to produce respective unique responses to the respective components of the gas, the memory layer is configured to store respective representations of the respective unique responses, and the processing layer is configured to identify the respective components of the gas based on the respective representations.

7. The monolithic, 3D IC of claim 1, wherein the memory layer comprises a plurality of Resistive Random Access Memory (RRAM) elements.

8 The monolithic, 3D IC of claim 1, further comprising: a plurality of inter-layer vias (ILVs) operably coupling the sensing layer to the memory layer.

9. A system comprising:

a ventilator to circulate air to a patient; and

the monolithic, 3D IC of claim 1, in flow communication with the ventilator, wherein a volatile organic compound in an exhalation of the patient is the at least one of the respective components.

10. A method of diagnosing ventilator-associated pneumonia (VAP), the method comprising: sensing an exhalation of a patient; and

diagnosing the patient as having VAP based on the exhalation of the patient.

11. The method of claim 10, further comprising:

detecting at least one volatile organic compound (VOC) in the exhalation of the patient.

12. The method of claim 11, wherein detecting the at least one VOC comprises sensing the at least one VOC with a device, the device comprising:

a sensing layer comprising a carbon nanotube field-effect transistors (CNFETs) functionalized to detect the at least one VOC;

a memory layer, operably coupled to the sensing layer; and

a processing layer, operably coupled to the memory layer.

13. The method of claim 10, further comprising:

determining a change in the exhalation of the patient with respect to a baseline exhalation of the patient; and

determining that the patient has VAP based on the change in the exhalation.

14. A method of diagnosing ventilator-associated pneumonia (VAP), the method comprising: culturing bacteria from a patient susceptible to VAP;

detecting at least one volatile organic compound (VOC) emitted by the bacteria with a device, the device comprising: a sensing layer comprising carbon nanotube field-effect transistors (CNFETs) functionalized to detect the at least one VOC;

a memory layer, operably coupled to the sensing layer; and

a processing layer, operably coupled to the memory layer; and

diagnosing the patient as having VAP based on the at least one VOC.

15. A method, comprising:

functionalizing carbon nanotube field-effect transistors (CNFETs) in a sensing layer with at least 50 functional materials;

coupling a memory layer to the sensing layer, to store data generated by the CNFETs; and coupling a processing layer to the memory layer to identify one or more components of a gas based on the data generated by the CNFETs.

16. The method of claim 15, further comprising:

exposing the CNFETs to the gas, such that the CNFETs generate the data based on at least one component of the gas; and

identifying the at least one component of the gas based on the data.

17. The method of claim 16, wherein the at least one component of the gas is a volatile organic compound associated with fentanyl, and wherein the identifying includes identifying the at least one component of the gas to originate due to fentanyl.

18. The method of claim 15, the functionalizing further including:

depositing carbon nanotubes on a substrate;

functionalizing the carbon nanotubes with the at least 50 functional materials; and depositing one or more materials in contact with the carbon nanotubes to form the functionalized CNFETs.

19. The method of claim 18, wherein functionalizing includes, for each functional material of the at least 50 functional materials:

dropping a solution containing that functional material onto at least one of the carbon nanotubes.

20. The method of claim 18, wherein functionalizing includes, for each functional material of the at least 50 functional materials:

spraying an aerosolized solution containing that functional material onto at least one of the carbon nanotubes.

21. The method of claim 15, wherein the at least 50 functional materials are selected from the group consisting of: deoxyribonucleic acid (DNA), metal coordination complexes, porphyrins, self-assembled monolayers (SAMs), polymers, pyrrole derivatives, phthalocyanines, and combinations thereof.

22. The method of claim 15, wherein the CNFETs comprise at least 1,000 CNFETs.

23. The method of claim 15, coupling the sensing layer to the memory layer comprises forming inter-lay er-vias (ILVs) between the sensing layer and the memory layer.

Description:
SYSTEMS, DEVICES, AND METHODS FOR GAS SENSING

CROSS-REFERENCE TO RELATED APPLICATION(S)

[0001] This application claims the priority benefit, under 35 ET.S.C. § 119(e), of ET.S. Application No. 62/682,497, which was filed on June 8, 2018, and is incorporated herein by reference in its entirety.

BACKGROUND

[0002] Gas detection is critical for a wide range of impactful applications ranging from healthcare (e.g., point-of-care diagnostics based on breath analysis), to threat detection (e.g., discovering hidden explosives or chemical weapons), to toxin detection (e.g., detecting fentanyl, an extremely potent and dangerous synthetic opioid). However, these applications present major challenges for conventional sensing systems today. State-of-the-art gas chromatography and mass spectrometry systems are large, high power (i.e., not wearable or handheld), and expensive.

[0003] Alternatively, developing highly-specific portable gas sensors that are engineered to react with specific threats requires: (1) precision chemistry (which is not scalable to detect arbitrary toxins), (2) dedicated sensors and hardware for each toxin (or analogues of the same toxin), and (3) a priori threat knowledge (e.g., one can only detect what the chosen sensor is designed to detect, so unexpected or unknown toxins/analogues cannot be detected). Furthermore, while canines are often effective, they (1) require extensive training and (2) expose the canine and handler to dangerous environments. “Electronic noses” are a powerful concept, yet current inceptions are inadequate (e.g., limited number and breadth of sensors on a chip, and they create a “data deluge” without embedded local processing of the data).

SUMMARY

[0004] In some aspects, a device includes a sensing layer that comprises a plurality of carbon nanotube field-effect transistors (CNFETs) functionalized with a set of functional materials to generate data based on at least a portion of a gas. Each CNFET of the plurality of CNFETs is functionalized with a functional material of the set of functional materials, and the set of functional materials includes at least 50 functional materials. The device also includes a memory layer, operably coupled to the sensing layer, to store the data generated by the plurality of CNFETs. The device also includes a processing layer, operably coupled to the memory layer, to identify one or more components of the gas based on the data generated by the plurality of CNFETs.

[0005] In some aspects, a method includes functionalizing a plurality of carbon nanotube field- effect transistors (CNFETs) functionalized with a set of functional materials. Each CNFET of the plurality of CNFETs is functionalized with a functional material of the set of functional materials, and the set of functional materials includes at least 50 functional materials. The method also includes coupling a memory layer to the sensing layer, to store data generated by the plurality of CNFETs. The method further includes coupling a processing layer to the memory layer to identify one or more components of a gas based on the data generated by the plurality of CNFETs.

[0006] In some aspects, a method includes diagnosing ventilator-associated pneumonia (VAP) and comprises culturing bacteria from a patient susceptible to VAP and detecting at least one volatile organic compound (VOC) emitted by the bacteria with a device. The device includes a sensing layer comprising a plurality of carbon nanotube field-effect transistors (CNFETs) functionalized with a set of functional materials for detecting at least one VOC. Each CNFET of the plurality of CNFETs is functionalized with a functional material of the set of functional materials, and the set of functional materials includes at least 50 functional materials. The device includes a memory layer operably coupled to the sensing layer, and a processing layer operably coupled to the memory layer. The method further includes diagnosing the patient as having VAP based on the at least one VOC.

[0007] In some aspects, a monolithic, three-dimensional (3D) integrated circuit (IC) includes a sensing layer that comprises a plurality of carbon nanotube field-effect transistors (CNFETs) functionalized with a set of functional materials for sensing a gas. The set of functional materials includes at least 50 functional materials. The device also includes a memory layer, operably coupled to the sensing layer, to store data generated by the plurality of CNFETs. The device further includes a processing layer, operably coupled to the memory layer, to identify the gas based on the data generated by the plurality of CNFETs. [0008] In some aspects, a method of diagnosing ventilator-associated pneumonia (VAP) includes sensing an exhalation of a patient, and diagnosing the patient as having VAP based on the exhalation of the patient.

[0009] In some aspects, a system includes a ventilator to circulate air to a user, and a device coupled to the ventilator to receive air exhaled by the user. The device includes a sensing layer that comprises a plurality of carbon nanotube field-effect transistors (CNFETs) functionalized with a set of functional materials to generate data based on at least a portion of the gas. Each CNFET of the plurality of CNFETs is functionalized with a functional material of the set of functional materials, and the set of functional materials includes at least 50 functional materials. The device also includes a memory layer, operably coupled to the sensing layer, to store the data generated by the plurality of CNFETs. The device further includes a processing layer, operably coupled to the memory layer, to identify one or more components of the gas based on the data generated by the plurality of CNFETs.

[0010] All combinations of the foregoing concepts and additional concepts discussed in greater detail below (provided such concepts are not mutually inconsistent) are part of the inventive subject matter disclosed herein. In particular, all combinations of claimed subject matter appearing at the end of this disclosure are part of the inventive subject matter disclosed herein. The terminology used herein that also may appear in any disclosure incorporated by reference should be accorded a meaning most consistent with the particular concepts disclosed herein.

BRIEF DESCRIPTIONS OF THE DRAWINGS

[0011] The skilled artisan will understand that the drawings primarily are for illustrative purposes and are not intended to limit the scope of the inventive subject matter described herein. The drawings are not necessarily to scale; in some instances, various aspects of the inventive subject matter disclosed herein may be shown exaggerated or enlarged in the drawings to facilitate an understanding of different features. In the drawings, like reference characters generally refer to like features (e.g., functionally similar and/or structurally similar elements).

[0012] The patent or application file contains at least one drawing executed in color. Copies of this patent or patent application publication with color drawing(s) will be provided by the Office upon request and payment of the necessary fee. [0013] FIG. 1 shows a schematic of a monolithic 3D smart sensing system, comprised of millions of unique carbon nanotube field-effect transistor (CNFET) gas sensors fabricated directly over memory and computing, all densely integrated through fine-grained and dense vertical interconnects. Due to the dense integration of massively parallel sensing, this system can capture terabytes of information each second and transform it to processed information (e.g., fentanyl classification) through embedded computing, which can still leverage silicon CMOS on the first layer.

[0014] FIG. 2 shows experimental data generated from a CNFET gas sensor array. Each pixel is the response of a single CNFET gas sensor (digitized to a binary value for clarity). The two patterns are the response from the CNFET gas array when exposed to two different gases. The system classifies gases by matching previously learned patterns to measured patterns. Embedded learning predicts (with known uncertainty) if an unknown gas (or complex combination of gases) appears to be of the same“class” as a previously known gas (or complex combination of gases).

[0015] FIG. 3 A shows an individual CNFET.

[0016] FIG. 3B shows a CNFET sensor with two CNFETs: the bottom CNFET is functionalized with conjugated molecules, transforming the bottom CNFET into a CNFET gas sensor. The dominant sensing mechanism is different gases interacting with the functional materials (e.g., conjugated molecules, and/or generally any material that coats the CNFET); this interaction modifies the functionalization-nanotube intermolecular forces, resulting in local changes in the electronic properties of the CNT, in turn resulting in changing CNFET drain current.

[0017] FIG. 4A shows a schematic of an individual Resistive Random Access Memory (RRAM) cell. RRAM is a non-volatile energy-efficient memory technology for dense on-chip data storage, and can be fabricated <200 °C, and is therefore compatible with monolithic 3D integration.

[0018] FIG. 4B shows a sub-section of a 1 Mbit RRAM memory array.

[0019] FIG. 5 shows chip-stacking (left), with sparse through-silicon vias (TSVs) connecting vertical layers, vs. monolithic 3D (right), with ultra-dense inter-layer vias (ILVs) connecting vertical layers. Monolithic 3D is naturally enabled by using CNFETs and RRAM for upper layers of memory, logic, and sensing, due to their low temperature fabrication. [0020] FIG. 6 illustrates CNFET gas sensor response patterns to different gases (columns) and different sensors (rows). Each pixel within each response pattern represents the CNFET drain current for a different biasing condition. The same sensor generates a unique response pattern for each gas, and CNFETs with different functionalizations respond with unique patterns. Therefore, thousands-to-millions of unique gas sensors, with each sensor capable of generating a unique pattern versus biasing condition, results in a massive hyper-dimensional sensing space.

[0021] FIG. 7 A shows a 100 mm waiter in which three-dimensional (3D) integrated circuits (ICs) have been fabricated.

[0022] FIG. 7B shows one of the 3D ICs fabricated in the wafer of FIG. 7A.

[0023] FIG. 7C shows a cross-sectional transmission electron microscope (TEM) image of a 3D IC (scale bar = 100 nm).

[0024] FIG. 7D is a principle component analysis plot generated with raw data acquired by a 3D IC for vapors from different household liquids.

[0025] FIG. 8 shows the monolithic 3D smart sensing system of FIG. 1 configured as an electronic nose that detects volatile organic compounds (VOCs) in a patient’s breath for diagnosing ventilator-assisted pneumonia (VAP).

[0026] FIG. 9A shows an electronic nose integrated onto a Petri dish for detecting vapors from VOCs and other compound emitted by a bacterial culture grown in the Petri dish.

[0027] FIG. 9B is a plot of the electronic nose’s response to a medium control and different cultures and a baseline reponse.

[0028] FIG. 10 shows an example monolithic 3D smart sensing system with multiple, stacked data storage and computation layers.

[0029] FIG. 11 shows an example monolithic 3D smart sensing system with multiple functionalizations in its CNFET subarrays.

[0030] FIG. 12A illustrates an example current output of an individual CNFET gas sensor as drain voltage VD and gain voltage VG is varied in timing.

[0031] FIG. 12B illustrates an example output of a subarray of 1000 individual CNFET gas sensors, each of which has an output as generally illustrated in FIG. 12 A. [0032] FIG. 12C illustrates example output of an array of CNFET subarrays as illustrated in, and having outputs as generally illustrated in, FIG. 12B.

[0033] FIG. 13 A is an example output of a CNFET subarray when exposed to air.

[0034] FIG. 13B is an example output of the CNFET subarray of FIG. 13 A when exposed to air including a bacterial component.

[0035] FIG. 13B is an example output of the CNFET subarray of FIG. 13 A when exposed to air including another bacterial component that is different from the bacteria component of FIG. 13B.

[0036] FIG. 13D is an output of an array of CNFETs when exposed to air in a headspace over a culture including the bacterium Pseudomonas aeruginosa.

[0037] FIG. 13E is an output of an array of CNFETs when exposed to air in a headspace over a culture including the bacterium Staphylococcus aureus.

[0038] FIG. 13F is an output of an array of CNFETs when exposed to air in a headspace over a culture including the bacterium Haemophilus influenzae.

[0039] FIG. 14 is a plot of actual bacterium (X axis) that a CNFET array is exposed to versus the bacterium (Y axis) predicted/detected by the CNFET array. It illustrates the accuracy of a CNFET array in detecting different bacteria.

[0040] FIG. 15A illustrates an example device for gas sensing with different sub-systems/layers.

[0041] FIG. 15B illustrates operation of the device of FIG. 15 A by interaction between its different sub-systems/layers.

[0042] FIG. 15C illustrates the phases of operation of the device of FIG. 15 A.

[0043] FIG. 16 illustrates a mechanical ventilator with a monolithic 3D IC disposed in an expiratory line.

DETAILED DESCRIPTION

[0044] The present technology includes smart sensing systems realized by integrating massive arrays of densely integrated and highly diverse on-chip sensors with embedded data storage and computation on the same chip, enabling a radically new“big-data” or“hyper-dimensional” approach to sensing applications. Other inventive smart sensing systems can be realized by chips with fabricated arrays of large numbers of highly diverse sensors and conventional readout circuitry and interconnects that read data off of the chips. These systems can be made by leveraging monolithic three-dimensional (3D) systems to integrate millions of unique on-chip gas sensors directly over layers of data storage and computation, achieving ultra-fine-grained integration of sensing, memory, and logic. Such systems are enabled by heterogeneously integrating multiple technologies within the same chip: conventional silicon CMOS and beyond-silicon emerging technologies (specifically Resistive Random Access Memory (RRAM) for non-volatile memory and carbon nanotubes (CNTs) for both energy-efficient embedded computation and diverse gas sensors). Such systems can transform terabytes of captured data from the outside world every second into“processed information” by performing in-situ classification of the sensor data using on-chip machine learning logic. This enables next-generation sensing applications by leveraging tightly integrated sensing with embedded computing for low-power on-chip classification and learning. For scalable fabrication, all of the sensors can be fabricated in an identical manner with the same material (e.g., carbon nanotubes), and these identical,“bare” sensors can then be made diverse, or unique, by functionalizing the sensors through any suitable high-throughput methodology (e.g., parallelized or serial (or any combination thereof) microspotting or spraying solutions containing different sensitive materials that coat the CNTs).

[0045] An example system can be realized as a mobile (e.g., handheld or wearable) smart sensing system trained to detect opioids from the fentanyl family (fentanyl and its analogues, both known and unknown). This can have profound implications for public health, health care providers, law enforcement, and homeland security. Such a chip can perform intelligent fentanyl detection and serve as a platform for a wide range of future smart sensing systems. For instance, the same hardware platform can be applied to healthcare, industrial and environmental monitoring, chemical warfare detection, hidden explosives or other hazardous threats, aerial gas sampling from unmanned aerial vehicles (UAVs), and other classes of sensors (e.g., high-speed imagers).

Massively Parallel Sensing Systems

[0046] FIG. 1 shows a sensing device/system platform 100, also sometimes referred to as a monolithic, three-dimensional (3D) integrated circuit (IC). The device 100 can include a sensing layer 110, a memory layer 120, and a processing layer 130. The ratio of sensing elements in the sensing layer 110, to memory elements in the memory layer 120, and/or to processing elements in the processing layer 130, can vary in any suitable manner such as, for example depending on the particular application (e.g., more compute-intensive applications may require more memory and processing, while less compute-intensive applications could include a sensing layer, and be without the memory, processing layers).

[0047] The sensing layer 110 can include carbon nanotube field-effect transistors (CNFETs) 115 that are functionalized. The number of CNFETs 115 in the sensing layer 110 can be about 100, about 1000, about 10,000, about 100,000, about 1,000,000, about 5,000,000, about 10,000,000 or more, including all values and sub-ranges in between. In some cases, the sensing layer 110 includes at least 1,000, 000 CNFETs.

The functionalization can be with multiple functional materials and in any suitable manner. For example, the same functional material can be applied to some of the CNFETs 115, or multiple functional materials can be applied to a single CNFET 115, and/or the like. The multiple/set of functional materials can include any of the following classes of molecules and/or specific molecules: DNA such as oligonucleotides, metal coordination complexes, porphyrins including metal porphyrins, self-assembled monolayers (SAMs), polymers, pyrrole derivatives, phthalocyanines, combinations thereof, and/or the like. The set of functional materials can include at least 2, at least 4, at least 10, at least 20, at least 50, at least 100, at least 500 or more different functional materials, including all values and sub-ranges in between. Examples of functional materials can include, but are not limited to, 7-amino-4-(trifluoromethyl)coumarin, coumarin 153, 7-diethylamino-3-[N-(2-maleimidoethyl)carbamoyl]coumarin, anthracene, 7, 12- dimethylbenz[a]anthracene solution, perylene, 9-anthracenem ethanol, ethyl 2-methylpyridine-3- carboxylate, l,4-diiodobenzene, 1 -cyclohexene- 1 -carboxylic acid, biphenyl-4-carboxylic acid, biphenyl-2-carboxylic acid, 9-fluorenone-2-carboxylic acid, l,6-dibromo-2-hydroxynaphthalene- 3 -carboxylic acid, 2-fluorobiphenyl-4-carboxylic acid, 6-methylpyridine-3 -carboxylic acid, 3- bromopropylamine hydrobromide, 4-tritylphenol, 4 -(phenyl azo)benzoic acid, ethylene di(p- toluenesulfonate), tert-butyl indoline-l-carboxylate, b-cyclodextrin, (2-hydroxypropyl)-P- cyclodextrin, a-cyclodextrin, g-cyclodextrin hydrate, dibenzo-l8-crown-6, cesium tetrapheny lb orate, b-cyclodextrin hydrate, 2,6,8-trimethyl-quinoline-4-carboxylic acid, sodium dodecylbenzenesulfonate, 3-O-methyl-d-glucopyranose, ethylenediaminetetraacetic acid disodium magnesium salt hydrate, thymolphthalein, o-cresolphthalein complexone, biphenyl-4- methanol, l-([l,l '-biphenyl]-4-yloxy)acetone, zinc phthalocyanine, magnesium phthalocyanine, aluminum phthalocyanine chloride, iron(ii) phthalocyanine, poly(copper phthalocyanine), copper phthalocyanine-3,4',4'',4'''-tetrasulfonic acid tetrasodium salt, nickel(ii) phthalocyanine- tetrasulfonic acid tetrasodium salt, copper(ii) 2,9,16,23 -tetra-tert-butyl-29h, 3 lh-phthalocyanine, hemin, 2-fluoro-5-methoxybenzaldehyde, phenazine methosulfate, 2-(4-(isopentyloxy)-3- methoxyphenyl)-lh-imidazo(4,5-b)phenazine, brilliant cresyl blue, brilliant green, tricyclohexylphosphine tetrafluorob orate, thionin acetate salt, l,3,7-trimethylxanthine, nafion l lOOew, poly(ethyleneimine), sulfanilic acid, 2-(3,4'-dimethoxyphenyl)-l-(3"- pyridinyl)acrylonitrile, and 2-[bis(methylthio)methylene]malononitrile. In some cases, the deposition of the functionalization materials can be done by automated microspotting or spraying over different areas of the sensing layer 110 (e.g., with positional accuracy of <100 pm in any direction), by pre-alignment of the device 110 to a microspotter or sprayer, e.g., optically or through other automated means. The device 100 can further include, at during fabrication and/or after, structures or patterns that confine functionalization materials or solutions containing functionalization materials within the targeted areas of the device (e.g., such as within a 500 pm x 500 pm area or smaller). This confinement can be through, for example, physical wells fabricated surrounding groups of CNFETs, or through patterning materials with different hydrophobicity on the surface of the sensing layer 110 to confine solutions containing the functionalization material(s) within specific regions of the sensing layer 110 until the solution dries.

[0048] When exposed to a gas, or a portion/component thereof, each CNFET 115 in the sensing layer 110 can respond based on its specific functionalization to generate data/information for that gas, as described in more detail herein. For example, in some cases, the functionalization can be specific for a particular gas, and the data generated by that CNFET 115 is indicative of the presence or absence of that particular gas. In other cases, the functionalization is not specific for a particular gas, and the data generated by that CNFET 115 in response to that gas, along with the data generated by other CNFETs with different functionalization, is collectively indicative of the presence or absence of that particular gas. Said another way, a first CNFET 115 can be functionalized to sense a first type of gas, a second CNFET 115 can be functionalized to sense a second type of gas, and so on. [0049] The memory layer 120 is coupled to the sensing layer 110 and can include any suitable storage components for storing the data generated by the CNFETs 115 such as, for example, RRAMs, embedded Flash (eFlash) memory, phase-change memory (PCM), SRAM, magnetic and/or spin-based memories such as spin-transfer torque RAM (STT-RAM) . Multiple inter-layer vias (ILVs) 140 can couple the layers 110, 120. The memory layer 120 can encompass a cross- point architecture, with each cell including one transistor and one memory unit, such as an RRAM.

[0050] The processing layer 130 is coupled to the memory layer 120 and can include any suitable processing components for identifying one or more components of the gas based on the data generated by the CNFETs 115, for identifying a response of the CNFETs 115 to one or more components of the gas, and/or the like. In some cases, the gas includes fentanyl, and the device 100 (i.e., the functionalized CNFETs 115) can collectively detect fentanyl. In some cases, the gas includes volatile organic compounds (VOCs), and the functionalized CNFETs 115 can detect one or more of the VOCs in the gas. The VOCs can include, but are not limited to, VOCs released from bacteria, VOCs released from a (human or non-human) patient’s own cells and/or biological processes, VOCs that could be released from an agent external to the bacteria or the patient, e.g., administered to a bacterial culture or to the patient. Generally, the CNFETs 115 can produce a unique overall response to the gas, the memory layer 120 can store that a representation of that unique response, and the processing layer 130 can identify the gas based on the unique response.

[0051] Without being limited by theory or any particular implementation, the device 100 can integrate massively parallel sensing (i.e., via the CFNETs 1 15 in the sensing layer 110), data storage (i.e., via the memory layer 120), and computing (i.e., via the processing layer 130), such as, for example, for implementing look-up tables (LETTs), for pattern matching, and/or for embedded machine learning using any suitable supervised learning approach such as, but not limited to, support vector machines (SVMs), artificial neural networks, decision trees, random forests, and/or the like. It can be trained to detect fentanyl (and its unknown analogues), performing on-chip real-time classification.

[0052] The platform/device 100 includes a chip whose top layer, which is exposed to the environment, can contain millions of unique gas sensors to generate rich sensing data. Classifying toxins can be based on the overall response of the millions of sensors, with each different toxin generating a unique response pattern across all millions of sensors, i.e., a unique identifying “fingerprint,” as shown in FIG. 2 for a hypothetical Gas 1 (left panel) and Gas 2 (right panel). As a result, the accuracy requirements on each individual CNFET/sensor can be greatly relaxed, as sensing accuracy can come from the collection of sensors in the sensing layer 110 as a whole.

[0053] Performing classification based on unique patterns of responses from gas sensors can enable at least three benefits: (1) flexibility and generality: the same device/hardware can be used to detect a wide variety of gases, substances, toxins, etc. simply by training new fingerprints or response patterns; (2) specificity: the unique fingerprint response allows the system to recognize specific toxins and/or classes of toxins, without requiring specifically-engineered sensors for that toxin or class or toxins; and (3) robustness, since hundreds, thousands, tens of thousands, hundreds of thousands, or millions of sensors provide robustness to stray responses from individual sensors.

[0054] However, simply having millions of sensors without functionalization or without parallel readout can be insufficient: the exponentially increasing amount of generated raw data from sensing systems (z.e., the“data deluge”) already overwhelms computing capabilities of electronics. Transferring data off-chip to the cloud, or even between two individually packaged chips, can result in prohibitive communication bottlenecks that waste both time and energy, often consuming >98% of the time and energy of the total classification operation. (This quantification is extracted from simulations of example 2D vs. 3D systems, calibrated to performance and energy numbers extracted from full physical designs and industry-standard design flows.) This is particularly constraining for hyper-dimensional approaches to sensing due to the reliance on massive amounts of generated raw data and subsequent big-data analysis.

[0055] To alleviate this problem, and as described for the device 100, the on-chip sensors/CNFETs 115 are immersed within memory (in the memory layer 120) and computation (in the processing layer 130), transforming the raw data deluge into highly processed information all on-chi p/on- device, before being transmitted off-chip, thus overcoming this substantial communication bottleneck. As shown in FIG. 1, this is accomplished through heterogeneous integration of layers of logic, memory, and sensing directly over one-another within a 3D stack, with the layers connected through fine-grained and dense vertical vias. A single 3D stack may include any number of logic, memory, or sensing layers (e.g., multiple sensing layers connected to a single memory layer and a single logic layer). This provides massive sensing capabilities unattainable today through any other approaches. Methods of Manufacture - CNTs, RRAM, and Monolithic 3D Integration

[0056] As a non-limiting description, the device 100 can be manufactured by functionalizing multiple carbon nanotube field-effect transistors (CNFETs), such as the CNFETs 115 in the sensing layer 110, with a set of functional materials. Each CNFET can be functionalized with one or more functional materials (e.g., at least 50 functional materials). In some cases, this can be done by depositing carbon nanotubes on a substrate, then functionalizing the carbon nanotubes with the set of functional materials. Then the rest of the CNFET can be constructed to provide functionalized CNFETs, such as by depositing materials to form the source and the drain terminals, by appropriate deposition of additional materials. The functionalization itself, whether performed on carbon nanotubes prior to CNFET formation or after can be done by, for example, dropping a solution including the functional material on target carbon nanotubes. As another example, such as when a larger exposure area is desired, an aerosol solution that includes the functional material can be sprayed onto the target carbon nanotubes.

[0057] The memory layer 120 can be coupled to the sensing layer 110, such as through ILVs 140, which enables the memory layer 120 to store the data generated by the CNFETs 115 in response to gas exposure. The processing layer 130 can then be coupled to the memory layer 120, which permits the identification of one or more components (e.g., fentanyl, VOCs, etc.) in the gas based on the data from the CNFETs 115. Accordingly, during use, the CNFETs 115 can be exposed to the gas, such that they generate the data based on at least a portion of the gas. Then processing layer 130 can the identify the one or more components of the gas based on the data.

[0058] As a specific and non-limiting example, the example device/system 100 shown in FIG. 1 can be fabricated using CNTs, RRAM, and monolithic 3D integration. A CNT is a nano-cylinder of carbon atoms with a diameter of about 1 nm. They can be used to form carbon nanotube field- effect transistors (CNFETs) by replacing the silicon channel of a transistor with CNTs. CNFETs provide several benefits for smart sensing systems. First, as shown in FIGS. 3A (CNFET) and 3B (CNFET sensor), otherwise identical CNFETs can be transformed with different functionalizations to form a diverse set of unique gas CFNET sensors. To form a CNFET gas sensor 310, CNTs 320 in the channel of the CNFET 310 are functionalized (e.g., coated) with another material 330, though it is understood that any area of the CNFET, such as the source and drain contacts, can be functionalized with a coating of a functionalization material. For CNTs, this functionalization and its interaction with the CNTs 320 alters the behavior of the CNFET 310, thus determining its sensitivity and selectivity as a sensor. The thousands to millions of CNFETs in a single system can include many groups of CNFETs, each of which is functionalized to detect a specific gas or combination of gases, making it possible to sense different gases and combinations of gases with the same system.

[0059] CNTs can be modified with a wide range of functionalities. Specifically, due to the conjugated pi systems (alternating single and double bonds) present in CNTs, the functionalization materials as disclosed herein can interact with the nanotubes and influence their electronic structure such that when the functionalization material interacts with gas(es), any changes in the functionalization material are transduced into changes into the CNFET electrical properties, that are in turn observable by changes in the CNFET operation. Examples of such changes can include changes in the D.C. current-voltage relationships (drain current vs. drain voltage, and drain current vs. gate voltage), changes in A.C. response, transient response, impedance at different frequencies, combinations thereof, and/or the like. Generally, interactions of these functionalization materials with gas analytes of interest lead to direct changes in the electronic behavior of the CNTs— sensitizing each sensor to specific classes of gases. The massive number of available conjugated materials results in an equally massive design space for unique CNFET gas sensors. Moreover, owing to high drive currents of CNFETs simultaneously with ideal electrostatic control, CNFETs can realize energy-efficient computing with more than 10 times the energy efficiency (quantified by the energy-delay product, or EDP) at the circuit-level compared to state-of-the-art silicon CMOS transistors. Finally, CNFETs can be fabricated at very low temperatures (e.g., < 200 °C), which enables dense 3D integration between vertical layers of sensing and computing (discussed below).

[0060] Nevertheless, CNT sensors present some challenges, including those listed in the table below. Those challenges can be mitigated as follows:

[0061] RRAM, shown in FIGS. 4A (single RRRAM cell) and 4B (RRAM array of RRAM cells), is a non-volatile memory technology for dense on-chip data storage. Similar to CNFETs, RRAM can also be fabricated at low (e.g., < 200 °C) processing temperatures, and thus enables dense 3D integration, though any memory that can be fabricated under similar conditions (e.g., at temperatures of less than about 400 °C) can be employed.

[0062] Monolithic 3D integration can reduce or eliminate the communication bottleneck in transferring massive amounts of data between disparate parts of conventional sensing applications. An example device/system 100 as described herein with respect to FIG. 1 uses CNFETs and RRAM in a monolithic 3D system architecture to overcome this bottleneck. In contrast, conventional 3D integration today is achieved through chip-stacking, where each vertical layer of the system is fabricated on separate substrates, which are then stacked and bonded over one- another. However, chip-stacking typically relies on low-density through-silicon vias (TSVs) to connect vertical layers. TSVs are limited to >10 pm pitch as shown in the left panel in FIG. 5. Conversely, monolithic 3D integration involves fabricating each vertical layer directly over the previous layers. These layers are on the same starting substrate (no wafer bonding required), so that back-end-of-line inter-layer- vias (ILVs) used already for metal routing can be used to connect vertical layers. ILVs are orders of magnitude (e.g., more than 1,000 times) denser than TSVs, enabling higher density, as illustrated in the right panel in FIG. 5.

[0063] This massive increase in vertical physical connectivity translates directly into an equally large increase in data bandwidth between vertical layers. This enables massive numbers of diverse sensors (e.g., CNFET sensors with different functionalizations) to write their data in parallel to layers of memory, which are physically located directly underneath the sensors. Then, the data in memory is transferred to processing elements (directly beneath the memory) with equally high bandwidth, enabling highly processed information with low latency, e.g ., for classification of massive sensor data in real time.

[0064] To realize monolithic 3D ICs, the processing temperature on the upper-layers can be less than about 400 °C. Higher temperatures than this can damage bottom-layer circuits and destroy back-end-of-line metallic interconnects. While conventional silicon CMOS involves processing temperatures of >1000 °C, which is too high for monolithic 3D ICs, the low-temperature fabrication of CNFETs and RRAM naturally enable monolithic 3D integrated circuits (ICs). This combination of sensing, data storage, and computing technologies, as well as the monolithic 3D system architectures, enabling the inventive smart sensing systems.

[0065] The abilities to capture massive and rich raw data and to process the data in near real-time on-chip provide additional opportunities for“smart” data analysis. For example, an example smart system may use massive real-time data input streams to perform adaptive data acquisition and subsequent machine learning. In FIG. 6, not only do two CNFET sensors (“Sensor 1” and“Sensor 2”) with different functionalization provide unique responses to different gases (“Gas 1”,“Gas 2”, and“Gas 3”), the same CNFET sensor can provide different responses to gases when the CNFET is biased at different voltages (not shown). While a naive approach to hyper-dimensional sensing may involve sampling across this entire vast space (across all biasing conditions across all sensors), an inventive system performs a real-time analysis on the captured data, making it possible to leverage previously measured responses to modify what data is collected from each of the sensors in the next measurement (by modifying the biasing conditions). Therefore, rather than sweeping across all possible biasing conditions for all sensors, the system can autonomously adapt in real time (e.g., stop sampling sensors which are not providing useful information to save energy and time, while simultaneously increasing sampling from sensors gathering useful information).

[0066] Monolithic 3D ICs present challenges as well. For example, fabrication yield tends to decrease with each additional vertical layer. But sensing with hyper-dimensional data is generally robust to errors, and error-sensitive computing can be implemented with foundry silicon CMOS on the bottom layer. Likewise, thermal constraints can be mitigated by placing heat-generating layers, such as computing layers, at or towards the bottom of the IC next to heat-sink, and using upper layers for sensing, memory, and other tasks that generate (and dissipate) less heat. Detecting Fentanyl

[0067] Current approaches for fentanyl (and broadly gas) detection have major limitations. Mass spectrometry does not yield sufficient information for identifying complex gas mixtures and must be used with gas chromatography (which is bulky and not mobile) for accurate gas identification. Moreover, mass spectroscopy fundamentally has lower resolution and sensitivity at smaller scales (e.g., on-chip). Several commercial fentanyl detectors use Raman spectroscopy, yet suffer from low signal intensity and thus cannot identify trace gases in ambient air.

[0068] While functionalization materials can be deposited over any component of a CNFET, CNTs can are particularly useful as extremely sensitive gas sensors. Due to their extreme electrostatic sensitivity and remarkably high surface-area-to-volume ratio (>10,000), functionalized CNT gas sensors have demonstrated part-per-billion (ppb) and even to part-per- trillion (ppt) sensitivity for a wide range of different analytes. However, functionalizing the CNTs prior to depositing the CNTs on a wafer/substrate and subsequent CNFET fabrication (e.g., of source, drain, or gate of the CNFET) have been used in highly specific and sensitive sensors, which is not a scalable approach for generalized smart sensing systems (as discussed above). Moreover, often these functionalization materials are considered chemical contaminants in semiconductor manufacturing facilities, and thus subsequent fabrication of the CNFET sensor post-CNT functionalization can be challenging, as the functionalization of the CNT prohibits continued fabrication within semiconductor fabrication facilities. Arrays of CNTs have been used in non-specific sensors for identifying different vapors. However, these devices have used a severely limited number of sensors (e.g., 3 to 30), thus also use a limited number of different types of sensors, and have also been packaged on separate chips from memory and compute.

[0069] FIGS. 7A-7D show an example large-scale, monolithic 3D IC with >1 million CNFET gas sensors and 1 Mbit of RRAM fabricated over silicon CMOS logic. It implements support-vector machine for classification and leverages a few different CNFET functionalizations to detect household vapors. This IC is controlled externally from a computer.

[0070] Other example ICs may implement sophisticated on-chip learning and classification with advanced silicon CMOS logic on the bottom layer. They may leverage micro-spotting and/or aerosol-based spraying/deposition to achieve >100,000 unique functionalizations across the array, including functionalizations for a variety of applications, including as fentanyl detection, healthcare, industrial monitoring, etc. And they may operate as autonomous, low-power, and mobile smart sensing systems that implement real-time, hyper-dimensional adaptive data capture and analysis.

[0071] For instance, an inventive monolithic 3D IC sensing system may include any of a variety of unique CNT gas sensors in over different gas combinations and concentrations, including trace (<100 ppm) fentanyl detection in a range of ambient conditions (humidity, background gases, etc.). In operation, these monolithic 3D ICs may be capable of learning unknown analogues with target sensitivities of ~l ppm trace concentrations in ambient conditions. These CNT gas sensors may form a library with different known pattern responses to fentanyl analogues. This library can be used for off-line testing and optimization of CNT sensors with experimental data, thereby reducing risks associated with optimizing embedded computing for identifying and predicting unknown fentanyl analogues. Said more generally, these CNT gas sensors are collectively able to continuously learn patterns, even when exposed to unknown gases, and without reengineering to detect new gases. Additionally, these CNT gas sensors are also collectively able to classify an unknown molecule as being related or unrelated to fentanyl based on the collective response from all sensors.

Detecting Volatile Organic Compounds for Diagnosing Ventilator-Assisted Pneumonia

[0072] Ventilator-associated pneumonia (VAP) is a high-mortality illness that affects about 18% of Intensive Care Unit (ICU) patients within 15 days of intubation. It is associated with a direct cost of $10,000 to $15,000 and hospital stay increase of more than six days. Early detection of VAP is essential, as early antibiotic treatment lowers mortality and overall cost. Unfortunately, VAP diagnosis is extremely challenging due to: (1) the non-specificity of symptoms (elevated white blood cell count, fever), (2) the high false positive rate of respiratory tract cultures (and the required culture time), and (3) the lower utility of X-ray imaging in the ICU as chest infiltrate abnormalities are common in intubated patients, even without the presence of pneumonia.

[0073] Recent studies in VAP have found correlations between VAP and the composition of volatile organic compounds (VOCs) present in breath. Thus, changes in VOCs (both composition and concentration) can be used as markers of VAP status and response to treatment. While these results are extremely promising, it is infeasible to implement VOC monitoring of ICU patients for early VAP diagnosis with todays’ technologies. Current techniques are both too bulky and expensive (e.g., state-of-the-art gas chromatography and mass spectrometry) to implement at scale, or inadequate for detecting VAP as they do not generate enough useful data (e.g., only contain <30 different sensors for detecting <30 specific VOCs). There are thousands of different VOCs in the breath, and there is no direct correlation with any specific VOC to the diagnosis of VAP (particularly since VAP itself is not a well-defined disease and can be caused by a range of different bacteria, each different bacteria can generate a unique VOC signature, and different people can have different responses to VAP).

[0074] FIG. 8 shows the monolithic, 3D IC 100 of FIG. 1 configured as an“electronic nose” that monitors VOCs 801 in patient breath for the early diagnosis of VAP. As explained above, the monolithic, 3D IC 100 may include millions of unique gas sensors built directly over layers of data storage and computing logic as explained above. Due to the fine-grained and dense integration of computing, data storage, and massively parallel sensing, these systems can capture terabytes of information each second, store that data in on-chip memory, and compute on it in real-time, transforming massive raw data input into highly-processed and useful information. Therefore, rather than determining a priori which VOCs to monitor for VAP diagnosis (which as mentioned above is infeasible), this multi-million sensor sensing system generates a unique response to arbitrary combinations and concentrations of VOCs.

[0075] Thus, rather than measuring individual VOC concentrations, an electronic nose can generate and perform the subsequent analysis of big-data from a given patient’s breath to create a unique, personalized“fingerprint” 803 correlated to the entire composition of that patient’s breath. The computing logic within the chip trains to recognize this personalized baseline, and detects changes in this“fingerprint” over time, including changes indicative of VAP. Therefore, training on the massive data sets generated by these systems (and knowing which patients developed VAP and which did not) makes it possible to recognize changes in the“fingerprints” which are indicative of VAP developing (without knowing exactly what these warning signs are), allowing clinical intervention. Likewise, monitoring VOCs in a patient’s breath with an electronic nose provides information about the effectiveness of antibiotic treatment based on the rate at which the fingerprint returns to the patient’s unique baseline.

[0076] A monolithic, 3D IC for diagnosing VAP may be realized by integrating massive arrays of densely integrated and highly diverse on-chip sensors with embedded data storage and computation on the same chip, enabling a radically new“big-data” or“hyper-dimensional” approach to sensing applications. Specifically, these systems can be made by leveraging monolithic 3D systems to integrate millions of unique on-chip gas sensors directly over layers of data storage and computation, achieving ultra-fine-grained integration of sensing, memory, and logic. Such systems are enabled by heterogeneously integrating multiple technologies within the same chip: conventional silicon CMOS and beyond-silicon emerging technologies (specifically RRAM for non-volatile memory and CNTs for both energy-efficient embedded computation and diverse gas sensing). Such systems can transform terabytes of captured data from the outside world every second into“processed information” by performing in-situ classification of the sensor data using on-chip machine learning logic. This enables next-generation sensing applications by leveraging tightly integrated sensing with embedded computing for low-power on-chip classification and learning.

[0077] FIG. 9A shows an electronic nose integrated onto a Petri dish for detecting vapors from VOCs and other compound emitted by a bacterial culture grown in the Petri dish. The monolithic 3D IC is integrated into the Petri dish to measure VOCs from a bacterial culture grown in the Petri dish. Such a bacterial culture study can result in immediate clinical impact.

[0078] Current diagnostic workflows to detect the presence and identify bacterial infections require several days to manually culture sufficient bacteria for testing. Recent work indicates that in culture, different bacterial strains can be identified from their released VOCs, and that the presence of these VOCs are generated prior to visible colonization (which is required in current diagnostic workflows). Compared to current diagnostic workflows, electronically detecting the presence of bacteria and identifying the bacteria strain based on VOCs decreases the time required to detect and identify bacterial infection, and thus the time to treatment with appropriate antibiotics, improving clinical outcomes for a wide range of bacterial infections.

[0079] FIG. 9B is a plot of the electronic nose’s response to different cultures in the form of drain current versus gate-source voltage for the CNFETs in the monolithic, 3D IC. The steeper traces, which fall from a drain current of about 4 mA to about 0.5 pA with a gate-source voltage increase from -2 V to 0 V, correspond to the baseline response and to the response to a medium control. The shallower traces, which fall from a drain current of about 1-2 pA to about 0.5-1.0 pA with a gate-source voltage increase from -2 V to 0 V, correspond to responses to different bacteria cultures A ( E . coli ) and B (P. aeruginosa). The difference in the electronic nose’s responses makes it straightforward to distinguish the bacteria cultures from the control.

[0080] While explained herein with respect to bacterial detection in a host of settings (ventilators, petri dishes, samples from patients, etc.), it is understood that the detection can be useful for detecting gases from non-bacterial species as well such as, for example, fungus/fungal infections that generate VOCs.

[0081] In addition, the Petri dish measurements yield massive datasets that can be used for training monolithic 3D ICs to recognize distinct patterns resulting from different bacteria. This training data can be leveraged for VOC detection and VAP diagnosis by a trained 3D IC that measures a ventilated patient’s breath in situ. Diagnosing VAP in situ would dramatically reduce, if not eliminate, the lag associated with current methods of detecting and diagnosing VAP, vastly improving clinical outcomes and reducing treatment cost.

[0082] Accordingly, a monolithic, 3D IC can be used to diagnose ventilator-associated pneumonia (VAP) that include culturing bacteria from a patient susceptible to VAP. It can do this by detecting at least one volatile organic compound (VOC) emitted by the bacteria, and/or an exogeneous agent administered thereto, with a device. The bacteria can include, but are not limited to, Escherichia coli , Proteus mirahilis , Moraxella catarrhalis , Serratia marcescens, Klebsiella pneumoniae , Burkholderia cepacia , Acinetobacter baumannii , Streptococcus pneumoniae , Stenotrophomonas (Xanthomonas) maltophilia , Aspergillus niger , Neisseria lactamica , Streptococcus pyogenes , Pseudomonas aeruginosa , Staphylococcus aureus , Haemophilus influenzae , and/or the like. A clinician can use this VOC detection to diagnose the patient as having VAP, e.g., by comparing the measurements of the patient’s exhalations with the VOC(s) to a baseline exhalation measurement of the same patient (e.g., when the patient is healthy). Further, a clinician can also use the VOC detection and/or any suitable data from the device to make patient care decisions such as, for example, which anti-microbial treatment to employ, changing ventilator settings, endotracheal tube cuff inflation, epiglottal suctioning, and/or any aspect of a mechanical ventilation care routine that can reduce the likelihood of a patient contracting VAP, or contacting a more severe case of VAP.

[0083] If desired, the electronic nose can be combined with or inserted into a mechanical ventilator used by the patient for continuous monitoring of the patient’s exhalations. The monolithic, 3D IC can be placed in the ventilator tubing that receives air exhaled by the patient. In this manner, in- situ evaluation of patient breath is made possible. Accordingly, such a system can include a ventilator to circulate air to a user (i.e., to and from the user’s lungs), and a device that is coupled to the ventilator to receive gas exhaled by the user. FIG. 16 illustrates an example ventilator 1610 that includes a monolithic, 3D IC 1620 as described herein in an expiratory line 1630 of the ventilator. In some scenarios (not shown), the IC 1620 can be integrated into a preexisting sensor compartment or housing 1640 of the ventilator 1620.

[0084] The monolithic, 3D IC, which can be structurally and/or functionally similar to the device 100, can sense and identify the components of the gas as described above. This can include, but is not limited to, sensing VOCs with the monolithic, 3D IC when places in an expiratory circuit on the ventilator, sensing VOCs with a monolithic, 3D IC from exhalate taken from an endotracheal tube attached to the patient, sensing VOCs with a monolithic, 3D IC that is inserted into the endotracheal tube to sample VOCs from the inside of the endotracheal tube that is often colonized by bacteria, and from a monolithic, 3D IC coupled to any device that can be inserted into the lungs to sample VOCs directly from the lungs (e.g., on a bronchoscope) on a person on mechanical ventilation.

Monolithic, 3D ICs with Multiple Processing and Memory Layers

[0085] FIG. 10 illustrates another example device 1000 that can be functionally similar to the device 100. The device 1000 includes a sensing layer 1010 that can be similar to the sensing layer 110, and include over a million CNFETs. The device 1000 also includes multiple memory/data storage layers of RRAM 1020 that are interweaved with multiple processing layers 1030. Millions of nanoscale wires can establish connectivity between individual CNFETs and RRAMs, and between RRAMs and corresponding processing units. Such extensive connectivity can enable capture and processing of terabytes of information/second, including on-device storage and real- time computation/identification of gaseous components.

[0086] FIG. 11 illustrates a portion of another example device 1100 that can be structurally and/or functionally similar to the device 100. The device 1100 includes a sensing layer 1110 having a million CNFETs, where 1000 CNFETs are functionalized with the same functional material, resulting in 1000 blocks of CNFETs (FIG. 11 shows 16 such blocks) with 1000 different functionalizations 1115i, 11152, 11153, 1115 4 , ... , 1115iooo. [0087] The following example protocol is employed to capture the data shown in FIGS. 12-14.

[0088] Data Capture - Arrays of CNFET VOC sensors (256 CNFET VOC sensors per chiplet) were fabricated, and each chiplet is diced and packaged. These packages are inserted into a custom “electronic petri dish” lid, which contains the interface circuitry required to bias and record the current-voltage characteristics of all CNFET VOC sensors on each chiplet. To characterize a particular type of CNFET VOC sensor, all 256 CNFET VOC sensors on a chiplet are coated with the same functionalization, and the packaged chiplet is inserted into the electronic petri dish lid. The electronic petri dish lid is then placed over one of 15 different cultured bacteria. Each cultured bacteria is plated at clinically-relevant concentrations of 10 5 colony forming units and cultured for 12 hours prior to measuring. To sample the bacteria VOC byproducts, the culture plates are removed from incubation and allowed to cool for 10 minutes, then the lids are removed and the plates are allowed to vent for another 5 minutes. The electronic petri dish lid is then placed over the bacteria culture for 30 seconds for the headspace to accumulate VOCs, followed by measuring the CNFET VOC sensors. This protocol is repeated to test each of the 100 functionalizations with each of the 15 bacteria.

[0089] Full-data Visualization - To visualize the information in the entire library captured from each bacteria,“pictures” of the response of the sensors to the VOCs generated from each bacteria are formed. Examples are shown in FIGS. 13D-F. Each picture is comprised of 100 sub-arrays (one per functionalization), with each sub-array containing 256 horizontal lines (each horizontal line is the response from a single CNFET VOC sensor, as the example shown in FIG. 12A). Every horizontal line contains 3746 measurements, corresponding to the measured drain current at 3746 different biasing conditions (VD varies from 0 to IV and VG varies from -2 to 2V).

[0090] Classification - To classify the fingerprints associated with different bacteria VOC byproducts, image classification machine learning is employed. Here, images are classified using a bag of visual words approach, though alternative approaches can be easily adapted. To perform this training and classification, the Speeded-up Robust Features (SETRF) descriptor is used to extract descriptors from the images, follows by k-means clustering to determine the top 500 features for classifying 21 different classes (blank Brucella horse blood media + blank MacConkey media + 15 different bacteria on Brucella horse blood media + 3 bacteria on MacConkey media + blank measurement in air). Each cluster center represents a feature, or visual word. The multiclass classifier is formed using binary support vector machine (SVM) classifiers in an error-correcting output codes (ECOC) framework). To test the classifier, 600 test fingerprints are classified (30 test fingerprints from each class).

[0091] Image generation for classification - To avoid overfitting, the 256 copies of each type of CNFET VOC sensor is a plot into two sets of CNFET VOC sensors (128 copies for a training set, and 128 copies for a validation set). This ensures there is no overlap in the sensors used for training and validation. To form multiple pictures, 64 sensors from the set of 128 sensors are randomly drawn, for each type of CNFET VOC sensor (the full picture is still formed by combining all 100 sub-arrays comprising now of 64 CNFET VOC sensors each into a single larger picture). The image classification machine learning described above is used to train on 30 pictures of each bacteria, and then attempt to classify 600 pictures of the bacteria. FIG. 14 shows the results of the classification. The ability to distinguish between samples that have bacteria or do not have any bacteria is 100% accurate (600/600 pictures accurately assigned as either having bacteria versus blank controls). The ability to determine the exact strain of the causative organism across all 18 organisms is 99.5% (597/600 perfectly identified organisms).

[0092] Turning to FIGS. 12-14 now, FIG. 12A illustrates example output of an individual CNFET gas sensor as a portion of the output from a sensing layer as disclosed herein. Specifically, FIG. 12A is a plot of current through through a single CNFET (with current value specified by the color) at different values of gate voltage VG and/or drain voltage VD , which vary over time (X-axis). The plot is a result of exposure of the CNFET sensor to a gas containing VOCs produced by the bacteria Klebsiella pneumoniae. The functionalization material of the CNFET sensor is copper(II) 2,9,l6,23-tetra-tert-butyl-29H,3 lH-phthalocyanine.

[0093] FIG. 12B illustrates example output of a subarray of CNFETs that includes 1000 individual CNFET gas sensors, each functionalized as described for FIG. 12 A, and each having an output as generally illustrated in FIG. 12A. Such redundancy of the same type of CNFET gas sensor (i.e., having the same functionalization) can reduce variability across sensors and provide a more accurate understanding of the general response of such a sensor.

[0094] FIG. 12C illustrates example output of an array of CNFETs that includes multiple subarrays as illustrated in FIG. 12B, and having an output as generally illustrated in FIG. 12B. Each CNFET subarray can be functionalized differently— for example, there may be 1000 subarrays of 1000 CNFETs each. Alternatively, the CNFET subarrays may be functionalized to provide redundancy or greater sensivitiy— for example, there may be 1000 CNFET subarrays that are similarly functionalized. Other arrangements are also possible. For instance, there may be many CNFET subarrays functionalized to detect harder-to-detect compounds and fewer CNFET subarrays functionalized to detect easier-to-detect compounds.

[0095] FIG. 13A is an example output of a subarray (i.e., having multiple CNFETs with the same functionalization material, copper(II) 2,9, l6,23-tetra-tert-butyl-29H,3 lH-phthalocyanine) when exposed to air, providing a‘baseline’ or‘control’ against with other test samples can be evaluated. FIG. 13B is an example output of the same subarray with the same functionalization material when exposed to a gas containing components released by a first bacterial species, P. Aeruginosa. Both computationally and visually, the output of FIG. 13B is readily distinguishable from that of the baseline in FIG. 13 A. FIG. 13C is another example output of the same subarray as FIG. 13 A when exposed to a gas containing components released by a second bacterial species (S. Aureus ) that is different than the first. Again, both computationally (e.g., using machine learning approaches as disclosed herein) and visually, the output of FIG. 13B is readily distinguishable from that of the baseline in FIG. 13 A, and from that of the first bacterial species.

[0096] FIGS. 13D, 13E, and 13F are example outputs of a complete array of CNFET sensors of a sensing layer when exposed to gases containing components released by the bacterium Pseudomonas aeruginosa , Staphylococcus aureus , and Haemophilus influenzae , respectively. Again, both computationally and visually, the output of each is readily distinguishable from the others.

[0097] FIG. 14 is an evaluation/confusion matrix that illustrates accuracy of bacterial classification, such as can be performed with 256 CNFET gas sensors in each functionalization material using a support vector machine (SVM) executed in the processing layer of the device. The SVM is trained with training data (e.g., 128 response patterns) obtained from half of the CNFET gas sensors in the sensing layer, and classification is performed using test data (i.e., 128 response patterns) obtained from the other half of the CNFET gas sensors. In this example, there is no overlap between the training data and the test data. The SVM performs binary bacterial classification (i.e., bacteria vs. no bacteria) with greater than 99.5% accuracy and is able to ascertain the exact bacterial species with about 92% accuracy. In the case of E. coli, for example, the SVM classifies it accurately almost 100% of the time. Overall, FIG. 14 illustrates classification between 21 classes of samples— 18 different strains of bacteria, air, blank Brucella horse blood media, and blank MacConkey media.

[0098] FIGS. 15A-15C illustrate example operation of an example device 1500 (FIG. 15 A) during typical use. The device 1500, which can be similar to the device 100, includes an input/output sensing layer 1510 (green), a memory layer 1520 (blue), a computation/processing layer 1530 (red), interconnects 1540 for memory access by the layer 1530, and an interface 1550 (purple) to the processing layer 1530. FIGS. 15B and 15C are shaded color-coded for correspondence to FIG. 15 A, with FIG. 15B illustrating that the inputs to the device 1500 (e.g., via the interface 1550) are memory addresses, control signals (the ‘select’ signal for the multiplexer in the interface subsystem 1550, the control voltages to the sensing circuits VGI and VGI of the sensing layer 1510, and the reset or read voltage applied to the RRAM VR of the memory layer 1520), and power and clock signals. Such inputs can be generated off the device 1500 and routed to the device via the interface 1550 through input/output pins. Signals with the same label ( VR , Vs, VGI or VGI) are connected on the device 1550 to the same input/output pin. Wordlines (horizontal wires in the array, labelled 0 to 1,023) and bitlines (vertical wires in the array, labelled 0 to 1,023) are shown as red solid lines and purple dashed lines, respectively. The sense amplifier (‘sense amp.’) is the circuitry that reads the value of the RRAM memory cell, and the select signal controls the multiplexer to select which sensor amplifier is connected to the computation subsystem.

[0099] FIG. 15C illustrates operation of the device 1500 across three phases: initialization, sensing and computation. In the initialization phase, all RRAM cells in the memory layer 1520 are reset and initialized to 0; specifically, voltage is applied to reset the RRAM Vreset = -2.75 V. In the sensing phase, all CNFET gas sensors write either a 1 or a 0 (depending on how each sensor reacts with the gas) into the RRAM cell underneath directly and in parallel. In the computation phase, the CNFET row decoders and silicon interface logic in the processing layer 1530 select individual RRAM cells sequentially (by the memory addresses and control signals generated off-chip), enabling the CNFET-based classification accelerator to perform classification; voltage applied to read the RRAM t read = 1.25 V. GND is ground (0 V).

[00100] Some aspects disclosed herein are directed to a monolithic 3D IC that contains (in a sensing layer) 1000 different sensors or more, that are evenly or unevenly split between 500 functionalization materials or more. A second layer underlying the sensing layer includes a layer of transistors. The transistors can have varied functionality, including (but not limited to): (1) measuring the response of the sensors in the sensing layer (e.g., include biasing circuitry to bias the sensors, and further include analog-to-digital converters (ADCs) to measure the response of the sensors); (2) access circuitry to select (the response of) which sensor and/or combination of sensors is measured at any given point in time; and/or (3) computation to analyze the measurements of the sensors, such as using any suitable machine learning approach as described herein. The IC may also include more layers of computing and/or memory (for instance, RRAM) for further computing and data storage capabilities embedded on-chip.

Conclusion

[00101] While various inventive embodiments have been described and illustrated herein, those of ordinary skill in the art will readily envision a variety of other means and/or structures for performing the function and/or obtaining the results and/or one or more of the advantages described herein, and each of such variations and/or modifications is deemed to be within the scope of the inventive embodiments described herein. More generally, those skilled in the art will readily appreciate that all parameters, dimensions, materials, and configurations described herein are meant to be exemplary and that the actual parameters, dimensions, materials, and/or configurations will depend upon the specific application or applications for which the inventive teachings is/are used. Those skilled in the art will recognize, or be able to ascertain using no more than routine experimentation, many equivalents to the specific inventive embodiments described herein. It is, therefore, to be understood that the foregoing embodiments are presented by way of example only and that, within the scope of the appended claims and equivalents thereto, inventive embodiments may be practiced otherwise than as specifically described and claimed. Inventive embodiments of the present disclosure are directed to each individual feature, system, article, material, kit, and/or method described herein. In addition, any combination of two or more such features, systems, articles, materials, kits, and/or methods, if such features, systems, articles, materials, kits, and/or methods are not mutually inconsistent, is included within the inventive scope of the present disclosure.

[00102] Also, various inventive concepts may be embodied as one or more methods, of which an example has been provided. The acts performed as part of the method may be ordered in any suitable way. Accordingly, embodiments may be constructed in which acts are performed in an order different than illustrated, which may include performing some acts simultaneously, even though shown as sequential acts in illustrative embodiments.

[00103] All definitions, as defined and used herein, should be understood to control over dictionary definitions, definitions in documents incorporated by reference, and/or ordinary meanings of the defined terms.

[00104] The indefinite articles“a” and“an,” as used herein in the specification and in the claims, unless clearly indicated to the contrary, should be understood to mean“at least one.”

[00105] The phrase“and/or,” as used herein in the specification and in the claims, should be understood to mean“either or both” of the elements so conjoined, i.e., elements that are conjunctively present in some cases and disjunctively present in other cases. Multiple elements listed with“and/or” should be construed in the same fashion, i.e.,“one or more” of the elements so conjoined. Other elements may optionally be present other than the elements specifically identified by the“and/or” clause, whether related or unrelated to those elements specifically identified. Thus, as a non-limiting example, a reference to“A and/or B”, when used in conjunction with open-ended language such as“comprising” can refer, in one embodiment, to A only (optionally including elements other than B); in another embodiment, to B only (optionally including elements other than A); in yet another embodiment, to both A and B (optionally including other elements); etc.

[00106] As used herein in the specification and in the claims,“or” should be understood to have the same meaning as“and/or” as defined above. For example, when separating items in a list,“or” or“and/or” shall be interpreted as being inclusive, i.e., the inclusion of at least one, but also including more than one, of a number or list of elements, and, optionally, additional unlisted items. Only terms clearly indicated to the contrary, such as“only one of’ or“exactly one of,” or, when used in the claims,“consisting of,” will refer to the inclusion of exactly one element of a number or list of elements. In general, the term“or” as used herein shall only be interpreted as indicating exclusive alternatives (i.e.“one or the other but not both”) when preceded by terms of exclusivity, such as“either,”“one of,”“only one of,” or“exactly one of.”“Consisting essentially of,” when used in the claims, shall have its ordinary meaning as used in the field of patent law. [00107] As used herein in the specification and in the claims, the phrase“at least one,” in reference to a list of one or more elements, should be understood to mean at least one element selected from any one or more of the elements in the list of elements, but not necessarily including at least one of each and every element specifically listed within the list of elements and not excluding any combinations of elements in the list of elements. This definition also allows that elements may optionally be present other than the elements specifically identified within the list of elements to which the phrase“at least one” refers, whether related or unrelated to those elements specifically identified. Thus, as a non-limiting example, “at least one of A and B” (or, equivalently,“at least one of A or B,” or, equivalently“at least one of A and/or B”) can refer, in one embodiment, to at least one, optionally including more than one, A, with no B present (and optionally including elements other than B); in another embodiment, to at least one, optionally including more than one, B, with no A present (and optionally including elements other than A); in yet another embodiment, to at least one, optionally including more than one, A, and at least one, optionally including more than one, B (and optionally including other elements); etc.

[00108] In the claims, as well as in the specification above, all transitional phrases such as “comprising,” “including,” “carrying,” “having,” “containing,” “involving,” “holding,” “composed of,” and the like are to be understood to be open-ended, i.e., to mean including but not limited to. Only the transitional phrases“consisting of’ and“consisting essentially of’ shall be closed or semi-closed transitional phrases, respectively, as set forth in the United States Patent Office Manual of Patent Examining Procedures, Section 2111.03.