Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 2,001 - 2,050 out of 53,253

Document Document Title
WO/2021/199235A1
The present invention provides a charged particle beam device with which optimal parameters for the device can be effectively derived in a short time period. This charged particle beam device comprises: an electron gun (1) that irradiate...  
WO/2021/202562A1
An embodiment of a charged particle filter is described that comprises a plurality of magnets, each having a surface sloped at an angle relative to a plane defined by a line from a center of a field of view on a detector to the center of...  
WO/2021/202136A1
A substrate support for a substrate processing chamber includes a baseplate, an edge ring arranged on the baseplate, a seal arrangement located between the edge ring and the baseplate that is configured to define an interface between the...  
WO/2021/201871A1
A Hall-effect thruster assembly includes a plurality of magnetic sources for creating a magnetic circuit. The plurality of magnetic sources are positioned between a first end and a second, opposite end of the Hall-effect thruster. The pl...  
WO/2021/198394A1
An improved method and apparatus for enhancing an inspection image in a charged-particle beam inspection system. An improved method for enhancing an inspection image comprises acquiring a plurality of test images of a sample that are obt...  
WO/2021/201989A1
Implementations described herein provide a substrate support assembly. The substrate support assembly has a first ceramic plate having a workpiece supporting surface and a bottom surface. The first ceramic plate has a plurality of second...  
WO/2021/197838A1
Systems and methods for forming structures (e.g., a plurality of support peaks) on a surface are described. Forming structures on a surface includes (1) masking one or more portions of the surface; (2) removing material from one or more ...  
WO/2021/202080A1
An edge ring for a substrate processing system includes an annular body and an annular channel disposed in the annular body circumferentially along an inner diameter of the annular body. The annular channel includes N distinct sections, ...  
WO/2021/202995A1
A non-transitory computer-readable storage medium stores instructions, which when executed by a processing device of a diagnostic server, cause the processing device to perform certain operations. The operations include receiving, from a...  
WO/2021/202229A1
Methods for processing a workpiece are provided. conducting a thermal treatment on a workpiece are provided. The workpiece contains at least one layer of metal. The method can include generating one or more species from a process gas. Th...  
WO/2021/194655A1
An apparatus may include global control module, the global control module including a digital master clock generator and a master waveform generator. The apparatus may also include a plurality of resonator control modules, coupled to the...  
WO/2021/190976A1
Disclosed herein is a substrate stack comprising a plurality of substrates, wherein: each substrate in the substrate stack comprises at least one alignment opening set; the at least one alignment opening set in each substrate is aligned ...  
WO/2021/194654A1
Disclosed herein are approaches for adjusting extraction slits of an extraction plate using a set of adjustable beam blockers. In one approach, an ion extraction optics may include an extraction plate including a first opening and a seco...  
WO/2021/192164A1
This charged particle beam system includes: a charged particle beam device that emits a charged particle beam from a charged particle source onto a sample via a charged particle optical system; and a control system for controlling the ch...  
WO/2021/191307A1
A support for an electron microscopy sample, the support comprising a metallic foil having one or more holes therethrough wherein thickness of the metallic foil is less than 50 nm and/or the mean linear intercept grain size is 50 nm or l...  
WO/2021/194935A1
A lid assembly for a processing chamber in a substrate processing system includes a dielectric window. The dielectric window includes an upper portion having flat upper and lower surfaces. The lower surface is a plasma-facing surface of ...  
WO/2021/195253A1
An apparatus to estimate parameters of a radio frequency (RF) signal may include a voltage sensor configured to provide an indication of a voltage of the RF signal as well as a current sensor configured to provide an indication of curren...  
WO/2021/190977A1
A charged particle apparatus for projecting a charged particle multi- beam to a sample, the apparatus comprising a primary column configured to generate a primary beam towards a sample, and a flood column for charged particle flooding of...  
WO/2021/192123A1
The present invention improves the reliability of sample analysis performed by using a charged particle beam device. This charged particle beam device is provided with a region setting means for setting, by using a low-magnification imag...  
WO/2021/195453A1
A plasma-exclusion-zone ring for a substrate processing system that is configured to process a substrate includes a ring-shaped body, an upper portion of the ring-shaped body, a base and a plasma-exclusion-zone ring notch. The upper port...  
WO/2021/194725A1
Thermally isolated captive features disposed in various components of an ion implantation system are disclosed. Electrodes, such as repellers and side electrodes, may be constructed with a captive feature, which serves as the electrode s...  
WO/2021/190808A1
A vacuum recipient apparatus (1) is provided, which comprises a vacuum treatment station (7) mounted to the wall (5) of a vacuum recipient (3) by means of a station mount (13). The station mount (13) comprises a station- pivot-lever (15)...  
WO/2021/193369A1
The purpose of the present invention is to address the problem of providing a field emission electron gun chamber for a scanning electron microscope which has a structure that is simple and can be made small, as has not been available he...  
WO/2021/194723A1
A system and method that is capable of measuring the incident angle of an ion beam, especially an ion beam comprising heavier ions, is disclosed. In one embodiment, X-rays, rather than ions, are used to determine the channeling direction...  
WO/2021/192070A1
Provided are: an electron gun using a photocathode having a planar photoelectric film; and an electron microscope using the same. First anode and second anode electrodes are disposed on the electron gun to generate an acceleration/decele...  
WO/2021/194468A1
An edge ring centering system for a plasma processing system includes a processing chamber including a substrate support and R edge ring lift pins, where R is an integer greater than or equal to 3. An edge ring includes P grooves located...  
WO/2021/190955A1
The invention relates to a nanoplasma switch device, comprising: - multiple electrically isolated electrodes; - a gap separating the two electrodes; wherein the gap has a width which is dimensioned to effect the generation of a plasma by...  
WO/2021/194702A1
Embodiments disclosed herein include an RF return assembly. In an embodiment, the RF return assembly comprises a first plate with a flange, where a first hole and a second hole pass through the flange. The RF return assembly may further ...  
WO/2021/194470A1
A substrate processing system includes a substrate support assembly to support a semiconductor substrate during processing of the semiconductor substrate in the substrate processing system. A first edge ring is arranged around the substr...  
WO/2021/194512A1
A substrate processing system includes: a substrate support within a processing chamber to vertically support a substrate; a temperature probe including: a first temperature sensor to measure a first temperature of the substrate support;...  
WO/2021/187756A1
The present invention relates to an interlocking fastening upper electrode assembly having an improved fastening force in which a bush inserted into a silicon electrode protrudes above the silicon electrode, and the protruding portion is...  
WO/2021/185939A1
An electrostatic chuck control system configured to be utilized during an inspection process of a wafer, the electrostatic chuck control system comprising an electrostatic chuck of a stage configured to be undocked during the inspection ...  
WO/2021/186637A1
Provided is a technique with which, when photographing a sample SAM using a charged particle beam device 1, photography time can be reduced and more accurate photographic images can be obtained. A charged particle beam device 1 is provid...  
WO/2021/188605A1
Embodiments of substrate supports are provided herein. In some embodiments, a substrate support for use in a substrate processing chamber includes a ceramic plate having a first side configured to support a substrate and a second side op...  
WO/2021/185481A1
Particle beam system comprising the following: a multiple beam particle source which is configured to generate a multiplicity of charged individual particle beams (3); a multi-pole lens sequence (600) with at least one first multi-pole l...  
WO/2021/188469A1
Embodiments of a process shield for use in a process chamber are provided herein. In some embodiments, a process shield for use in a process chamber includes a body having a cylindrical shape, wherein the body includes an upper portion a...  
WO/2021/185938A1
An apparatus includes a first charged particle beam manipulator positioned in a first layer configured to influence a charged particle beam and a second charged particle beam manipulator positioned in a second layer configured to influen...  
WO/2021/188174A1
Semiconductor chamber components are described herein that includes one or more conduits for carrying a fluid between powered and grounded portions of the chamber component, the conduit configure to be less prone to arcing as compared to...  
WO/2021/188857A1
A substrate processing system includes a drive circuit, an RF reference measuring circuit, and a make-break connector. The drive circuit generates an RF drive signal at a first RF frequency. The RF reference measuring circuit includes an...  
WO/2021/188362A1
Embodiments of liners for use in a process chamber are provided herein. In some embodiments, a liner for use in a process chamber includes an upper liner having a top plate with a central opening and a tubular body extending downward fro...  
WO/2021/188340A1
A method for processing one or more substrates in a plasma processing chamber is provided. A plurality of cycles is provided, wherein each cycle comprises providing a pre-coat process, processing at least one substrate within the plasma ...  
WO/2021/188710A1
Plasma processing chamber is provided where the plasma processing chamber has a first component. A first plurality of multilayers is disposed over the first component, wherein each multilayer comprises a process layer and a conditioning ...  
WO/2021/185413A1
The invention relates to a holding device for holding a plurality of substrates during plasma-supported deposition of a layer from the gas phase on the substrates, which is in the form of a boat for a PECVD deposition device and has: - c...  
WO/2021/183154A1
An electron beam inspection system is disclosed, in accordance with one or more embodiments of the present disclosure. The inspection system may include an electron beam source configured to generate one or more primary electron beams. T...  
WO/2021/183630A1
Particle therapy systems and methods for treating patients are provided. In one implementation, a particle therapy system may include an interaction chamber for containing a target and an electromagnetic radiation source configured to ge...  
WO/2021/180743A1
Apparatuses, systems, and methods for generating a beam for inspecting a wafer positioned on a stage in a charged particle beam system are disclosed. In some embodiments, a controller may include circuitry configured to classify a plural...  
WO/2021/183279A1
A substrate support includes: a baseplate; a top plate disposed above the baseplate and configured to support a substrate during processing of the substrate; and a bonding layer bonding the top plate to the baseplate. The bonding layer i...  
WO/2021/180600A1
The present invention relates to a dual beam device and three-dimensional circuit pattern inspection technique by cross sectioning of inspection volumes with large depth extension exceeding 1μm below the surface of a semiconductor wafer...  
WO/2021/180496A1
Disclosed herein is an aperture body (500) for passing a portion of a charged particle beam propagating along a beam path comprising an axis, the aperture body comprising: an up-beam facing surface (510); a chamber portion (511) comprisi...  
WO/2021/183211A1
An apparatus for processing substrates that includes a process chamber with a process volume and a conductance liner surrounding the process volume wherein the conductance liner has at least one fixed portion and a movable portion. The m...  

Matches 2,001 - 2,050 out of 53,253