Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 1,851 - 1,900 out of 53,253

Document Document Title
WO/2021/252353A1
An apparatus for forming a plasma may include one or more coupling ports to accept a radiofrequency (RF) current. The apparatus may additionally include one or more coupling structures which may include one or more conductive loops to pe...  
WO/2021/249189A1
A sub-nanoscale high-precision photolithography writing field stitching method, comprising: a photosensitive glue layer (2) coats the surface of a wafer (1) to undergo photolithography, and after the surface of the photosensitive glue la...  
WO/2021/250733A1
Provided is a technique capable of performing automatic focus adjustment even for a sample having regions of different heights. A charged particle beam apparatus according to the present disclosure is provided with: a sample holder that ...  
WO/2021/252095A1
The present invention provides an improved plasma source configuration comprising a vacuum chamber having the source. A dielectric member is in communication with the vacuum chamber and surrounded by the plasma source. A high aspect rati...  
WO/2021/251636A1
The present invention is a substrate processing method in which processing steps are carried out on a substrate supported on a support unit in a processing space that is divided into a first processing area and a second processing area, ...  
WO/2021/249872A1
2019P00380WO 68 ABSTRACT Disclosed herein is a module for supporting a device configured to manipulate charged particle paths in a charged particle apparatus, the module comprising: a support arrangement configured to support the device,...  
WO/2021/252120A1
Embodiments disclosed herein include a modular microwave source array. In an embodiment, a housing assembly for the source array comprises a first conductive layer, wherein the first conductive layer comprises a first coefficient of ther...  
WO/2021/249669A1
The invention discloses a particle beam system with a multi-source system. The multi-source system comprises an electron emitter array as a particle multi-source. The inhomogeneous emission characteristics of the various emitters in this...  
WO/2021/252096A1
A cooling assembly includes a first subassembly and a second subassembly. The first subassembly is coupled to a showerhead of a substrate processing system. The first subassembly including a plurality of passages proximate to and in ther...  
WO/2021/250879A1
To enable a permittivity microscopy observation, with image deletion being suppressed, regardless of the scanning speed. A charged particle beam device comprises a sample chamber (120) that holds a sample (200) between a first insulating...  
WO/2021/249792A1
A manipulator for manipulating a charged particle beam in a projection system, the manipulator comprising a substrate having opposing major surfaces in each of which is defined an aperture and a through-passage having an interconnecting ...  
WO/2021/248204A1
This disclosure relates to a method for manufacturing enriched silicon on a silicon substrate. The method comprises generating an ion beam of charged 28Si ions and sputtering a surface region of the silicon substrate using the ion beam. ...  
WO/2021/250997A1
A pattern inspection device according to an embodiment of the present invention is characterized by comprising: a secondary electron image acquisition mechanism including a deflector for deflecting multiple primary electron beams and a d...  
WO/2021/250799A1
The present invention provides: a scintillator which is reduced in the intensity of the afterglow, while having increased luminous intensity; and a charged particle beam device. A scintillator according to the present invention is char...  
WO/2021/251026A1
Provided are an observation device, an observation method for a gas under observation, and a sample holder that can be suitably used, with which a sample holder, onto which a thin-plate sample has been integrally installed, can be moved ...  
WO/2021/247946A1
Showerheads for semiconductor processing operations are disclosed that have removable faceplates and various features that provide additional benefit in the context of removable faceplates.  
WO/2021/247175A1
A flow optimizer is disclosed for use in plasma chamber. The flow optimizer includes a ring that is disposed between a wafer support and a dielectric window defined in the plasma chamber. The ring of the flow optimizer is configured to b...  
WO/2021/245289A1
According to the invention, a measuring probe (100) for measuring variables characteristic for a plasma is provided, the measuring probe having a probe body (110) with a probe head (120), the probe body extending along a longitudinal axi...  
WO/2021/247168A1
Methods and apparatus for processing a substrate include cleaning and self-assembly monolayer (SAM) formation for subsequent reverse selective atomic layer deposition. An apparatus may include a process chamber with a processing volume a...  
WO/2021/245667A1
A plasma generation system, constituted of: an anode; a cathode; a rod extending from the distal portion of the cathode; and a power supply providing an operating voltage to the cathode.  
WO/2021/245998A1
The present invention provides: a stage device that can suppress bending deformation of a mirror, and that can reduce the positioning error of a stage by reducing the measurement error of the position of the stage; and a charged particle...  
WO/2021/247637A1
A fabric dielectric barrier discharge (DBD) device, a textile material comprising interconnected insulated conductive fibers can be used to generate a cold homogenous plasma by forming a discharge path from a conductive core of a first f...  
WO/2021/244685A1
The subject matter of the invention is a method of automatic detection of a required peak for sample machining by a focused ion beam, which uses for a filtration of a measured signal of secondary particles a discrete wavelet transformati...  
WO/2021/247170A1
Methods and apparatus for reducing particle generation in a remote plasma source (RPS) include an RPS having a first plasma source with a first electrode and a second electrode, wherein the first electrode and the second electrode are sy...  
WO/2021/247249A1
A substrate processing system includes a gas source, an RF source, and a light source. The gas source supplies a first gas to a process module of the substrate processing system. The RF source supplies RF power to the process module to g...  
WO/2021/247586A1
A diagnostic disc includes a disc-shaped body having raised walls that encircle the interior of the disc-shaped body and at least one protrusion extending outwardly from the disc-shaped body. The raised walls of the disc-shaped body defi...  
WO/2021/247143A1
A method and apparatus for substrate processing and a cluster tool including a transfer chamber assembly and a plurality of processing assemblies. The transfer chamber assembly and processing assemblies may include processing platforms f...  
WO/2021/247627A1
A substrate support for a substrate processing system includes a monobloc pedestal plate with a first surface configured to support a substrate and a second surface configured to interface with a pedestal stem. A groove is formed in the ...  
WO/2021/241869A1
A substrate processing device according to one embodiment of the present invention comprises: a process chamber that provides a reaction space therein and includes a substrate entrance through which a substrate enters and exits; a suscep...  
WO/2021/242339A1
An arc detector for a RF power supply system, where the RF power supply incudes a first RF power supply and a second RF power supply. A signal applied to a non-linear load varies in accordance with an output from one of the first RF powe...  
WO/2021/238509A1
Disclosed is a transmission electron microscope sample rod capable of applying a magnetic field. The transmission electron microscope sample rod comprises a rod body (1) and a rod head (2), wherein the rod head (2) is arranged at an end ...  
WO/2021/240801A1
A scanning/transmission electron microscope (1) moves a sample (59) using an X-piezoelectric element (54), a Y-piezoelectric element (55), and a Z-piezoelectric element (65). The method for controlling the position of the sample (59) com...  
WO/2021/242491A1
A method, and a high voltage (HV) system that may include a noise reduction unit (MNRU) and a bias setting unit (BSU). The HV system may receive a HV supply signal, over a high voltage supply line from a HV supply unit. The HV supply uni...  
WO/2021/239380A1
A multi-beam charged particle inspection system and a method of operating a multi-beam charged particle inspection system for wafer inspection with high throughput and with high resolution and high reliability is provided. The method and...  
WO/2021/239023A1
Provided are a plasma etching system and Faraday shielding apparatus which can be used for heating; the Faraday shielding apparatus comprises a Faraday shielding plate (009) and a resistance wire (003) attached to the lower end of the Fa...  
WO/2021/239754A1
A detector includes a plurality of sensing elements, section circuitry that communicatively couples a first set of sensing elements to an input of first signal processing circuitry, and a switch network that connects sets of sensing elem...  
WO/2021/242506A1
A substrate processing system includes a processing chamber including a window. A substrate support is arranged inside the processing chamber to support a substrate during plasma processing. A first array including E inductive coils arra...  
WO/2021/240728A1
According to the present invention, when an electrostatic chuck and an electric field correction electrode have different linear expansion coefficients, the temperature change-induced distortion of a sample holding table is reduced. A sa...  
WO/2021/239025A1
Disclosed by the present application are a plasma etching system and Faraday shielding apparatus which can be used for heating, the Faraday shielding apparatus comprising a Faraday shielding plate and a heating circuit; the Faraday shiel...  
WO/2021/239979A1
The invention relates to a device (100) for reducing ice contamination of a sample (S) in a chamber (210) of a focused ion beam milling apparatus (200), wherein the device (100) comprises a body (110) configured to be cooled to cryogenic...  
WO/2021/242516A1
A component for use in a plasma processing chamber is provided. A component body has a plasma facing surface. A coating is over the plasma facing surface, wherein the coating is formed by a method comprising spraying a surface of the com...  
WO/2021/242512A1
Systems and methods for compressing data are described. One of the methods includes receiving a plurality of measurement signals from one or more sensors coupled to a radio frequency (RF) transmission path of a plasma tool. The RF transm...  
WO/2021/239055A1
The present application relates to a rotating platform for ion beam etching, which belongs to the field of semiconductor processing. The upper end surface of an upper structure of the rotating platform is provided with a base; the upper ...  
WO/2021/233338A1
A separated gas inlet structure for blocking plasma backflow, the structure comprising a gas inlet flange (52), and an upper gas inlet nozzle (60, 90) and a lower gas inlet nozzle (61, 91) that are made of ceramic materials. The upper ga...  
WO/2021/236356A1
A method may include providing a substrate on a clamp, and directing radiation from an illumination source to the substrate when the substrate is disposed on the clamp during substrate processing, wherein the radiation is characterized b...  
WO/2021/235739A1
The present invention relates to a substrate processing apparatus comprising: a chamber; a substrate support part which supports one or more substrates in the chamber; an upper electrode which is disposed above and arranged opposite to t...  
WO/2021/234800A1
The purpose of the present invention is to provide a transmission electron microscope capable of increasing the amount of light emission of scintillators in a wide acceleration voltage band. The transmission electron microscope pertainin...  
WO/2021/235076A1
A pattern inspection device according to an embodiment of the present invention is characterized by comprising a deflector for deflecting multiple primary electron beams and a detector for detecting multiple secondary electron beams, and...  
WO/2021/236354A1
An apparatus may include a clamp to clamp a substrate wherein the clamp is arranged opposing a back side of the substrate; and an illumination system, disposed to direct radiation to the substrate, when the substrate is disposed on the c...  
WO/2021/233172A1
An upper electrode mechanism of a semiconductor process device, and a semiconductor process device. The upper electrode mechanism comprises a radio frequency coil (104), current sensors (9a, 9b, 9c, 9d), and current adjustment means (10a...  

Matches 1,851 - 1,900 out of 53,253