Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 1,501 - 1,550 out of 53,137

Document Document Title
WO/2022/076299A1
Exemplary semiconductor processing systems may include a chamber body comprising sidewalls and a base. The systems may include a substrate support extending through the base of the chamber body. The substrate support may include a suppor...  
WO/2022/075975A1
An exemplary plasma processing system includes a plasma processing chamber, an electrode for powering plasma in the plasma processing chamber, a tunable radio frequency (RF) signal generator configured to output a first signal at a first...  
WO/2022/076144A1
Shadow ring kits and methods of dicing semiconductor wafers are described. In an example, an etch apparatus includes a chamber, and a plasma source within or coupled to the chamber. An electrostatic chuck is within the chamber, the elect...  
WO/2022/073581A1
A tumbling protector and a method for compensating tumbling of a cathode rotating around an axis of rotation is provided. The tumbling protector may include a protector centering element being configured to be mounted spaced apart from t...  
WO/2021/262371A9
Techniques described herein relate to methods, apparatus, and systems for promoting adhesion between a substrate and a metal-containing photoresist. For instance, the method may include receiving the substrate in a reaction chamber, the ...  
WO/2022/075841A2
A MEMS device for transmission microscopy, said device comprising - a planar body, said body having an observation window, - a sample chamber located at said observation window, - a conduit extending in said body, said conduit having - a...  
WO/2022/072234A1
Systems and methods for synchronization of radio frequency (RF) generators are described. One of the methods includes receiving, by a first RF generator, a first recipe set, which includes information regarding a first plurality of pulse...  
WO/2022/069274A1
An inspection apparatus for adjusting a working height for a substrate for multiple target heights is disclosed. The inspection apparatus includes a radiation source configured to provide a radiation beam and a beam splitter configured t...  
WO/2022/070498A1
Provided is a charged particle beam device with which it is possible for the angle of inclination of a sample stage to be set appropriately, even if an observation surface is larger than the field of view, and a sample observation method...  
WO/2022/072831A1
Described are ion implantation devices, systems, and methods, and in particular to an ion source that is useful for generating an aluminum ion beam.  
WO/2022/070311A1
Provided is technology that can specify the irradiation region or the irradiation position of a beam and a light as clearly as possible. A charged particle beam device 1 comprises: a position adjusting mark 10 that is mounted on a stage ...  
WO/2022/070308A1
This control device: controls a contact probe in sync with a light that is a prescribed wavelength and is pulse-controlled; uses a measurement apparatus to measure characteristics of a sample to be inspected or a sample for analysis; and...  
WO/2022/069073A1
The invention relates to a multiple particle beam microscope and an associated method with a fast autofocus around an adjustable working distance. Proposed is a system having one or more fast autofocus correction lenses for adapting, in ...  
WO/2022/072048A1
A plasma processing system includes a plasma chamber configured to contain a plasma, a shutter chamber fluidically coupled to the plasma chamber via a first orifice, a mass spectrometer fluidically coupled to the shutter chamber, and a s...  
WO/2022/072947A1
A pulse generator is disclosed. The pulse generator includes a DC source; a plurality of switches, a transformer; and a pulsing output. The pulse generator can be coupled with a plasma chamber. The pulsing output outputs high voltage pul...  
WO/2022/069050A1
A method of depositing a material on a substrate is described. The method includes sputtering at least a component of the material from a first rotary target having a first magnet assembly and a second magnet assembly. The first magnet a...  
WO/2022/070661A1
Provided are a sample holder for electrochemical charge-type hydrogen permeation detection that uses an electrolyte solution, and a hydrogen permeation diffusion path observation device that measures hydrogen ions permeating a sample hel...  
WO/2022/070236A1
Provided are an image quality improvement system and an image quality improvement method for improving the image quality of a low quality image by machine learning, the image quality improvement system and the image quality improvement m...  
WO/2022/072370A1
A substrate support configured to support a substrate having a diameter D comprises a first inner electrode and a second inner electrode that are each D- shaped, define a first outer diameter that is less than D, and are configured to be...  
WO/2022/072711A1
Disclosed herein is a sintered yttrium oxide body having a total impurity level of 40 ppm or less, a density of not less than 4.93 g/cm3, wherein the sintered yttrium oxide body has at least one grain boundary comprising silica in an amo...  
WO/2022/065428A1
Provided is an electron microscope which, despite being equipped with an objective lens that leaks a magnetic field to a sample, generates a sample observation image using an electron beam in order to enable acquisition of a scanning ele...  
WO/2022/066503A1
Embodiments of the present disclosure generally relate to methods of depositing carbon film layers greater than 3,000 A in thickness over a substrate and surface of a lid of a chamber using dual frequency, top, sidewall and bottom source...  
WO/2022/064707A1
The present invention rapidly and highly accurately acquires depth information for a multilayered structure. This analysis system comprises: (a) a step of acquiring a first imaged image of a multilayered structure-including sample SAM as...  
WO/2022/067171A1
A method for repetitive tuning of a matching network in a radio frequency plasma processing device, the method including detecting a condition within the matching network and determining if the condition is a known condition for the matc...  
WO/2022/064668A1
A charged particle beam device provided with an iron thin film spin detector is provided. This charged particle beam device comprises a charged particle column 201 which scans a charged particle beam 202 on a sample 203; a spin detector ...  
WO/2022/064628A1
In order to enable even an electron microscope equipped with an objective lens, which causes a magnetic field to leak toward a sample, to acquire a scanning electron microscope image by using low-angle reflected electrons, that is, refle...  
WO/2022/063553A1
The invention relates to an apparatus for the treatment of a biological surface using atmospheric-pressure plasma, comprising: - a device for generating atmospheric-pressure plasma (DGP), and - a control device (DC) configured to control...  
WO/2022/066603A1
Embodiments of showerheads are provided herein. In some embodiments, a showerhead assembly includes a chill plate having a plurality of recursive gas paths and one or more cooling channels disposed therein, wherein each of the plurality ...  
WO/2022/064719A1
The purpose of the present invention is to obtain, with a charged particle beam device in which a sample is irradiated with a charged particle beam and with light so as to obtain an observation image of the sample, an observation image i...  
WO/2022/066593A1
A showerhead comprises first, second, and third components. The first component includes a disc-shaped portion and a cylindrical portion extending perpendicularly from the disc-shaped portion. The disc-shaped portion includes first and s...  
WO/2022/063540A1
A method of operating a secondary imaging system of a charged particle beam apparatus may include using an anti-scanning mode. Excitation of a component of the secondary imaging system may be adjusted synchronously with a primary scannin...  
WO/2022/063112A1
The present invention provides a semiconductor reaction chamber, comprising a chamber body, a dielectric window, a gas inlet member, a bearing member, an upper radio frequency assembly, and multiple ultraviolet light generating apparatus...  
WO/2022/066944A1
Substrate coating systems and methods are disclosed. A substrate coating system comprises a deposition chamber enclosing at least a first electrode and a second electrode and a power supply coupled to the first electrode and the second e...  
WO/2022/066135A1
The claimed method for producing cathodic vacuum arc plasma comprises igniting a vacuum arc discharge between a cathode (2) and an anode (3) with the aid of an ignition means (4), and forming a plasma flow by means of a magnetic field pr...  
WO/2022/064848A1
In the present invention, in a cylindrical guard electrode (5) disposed on the outer peripheral side of an electron generation part (31) of an emitter (3), a tip section (5A) positioned in an emission direction of an electron beam (L1) f...  
WO/2022/066942A1
Embodiments of components for use in substrate process chambers are provided herein. In some embodiments, a component for use in a substrate process chamber includes: a body having an opening extending partially through the body from a t...  
WO/2022/058424A1
What is proposed is an apparatus (100) for analysing and/or processing a sample (10) with a particle beam (110), comprising:a providing unit (106) for providing the particle beam (110); a shielding element (202) for shielding an electric...  
WO/2022/058437A1
The invention relates to an apparatus and a method for separating a carbon layer, wherein an arc discharge is formed between an electron source (10) and an evaporation material (2) by means of a first power supply device (9), wherein the...  
WO/2022/057847A1
The present invention relates to the field of semiconductor processing devices, and provides a collection assembly and a semiconductor precleaning chamber. The collection assembly is used for collecting particle impurities in the semicon...  
WO/2022/059202A1
This inspection system 100 comprises: an electron source 102 which irradiates a sample 200 with an inspection beam; a detector 105 which detects secondary electrons obtained by irradiating the sample 200 with the inspection beam and outp...  
WO/2022/058193A1
The invention relates to a coating method and to a coating apparatus for coating a body (40). A magnetron-cathode (22a, 22b, 22c, 22d) having a target (24a, 24b, 24c, 24h) is arranged in the vacuum chamber (12). Electrical power is suppl...  
WO/2022/058333A1
The invention relates to a device for generating a dielectric barrier discharge, having a thermoelectric component (1), an electrode (2) which is arranged opposite the thermoelectric component (1), and a high voltage source (12) which is...  
WO/2022/058346A2
What is proposed is an apparatus (100) for analysing and/or processing a sample (200) with a particle beam (112), comprising:a sample stage (120) for holding the sample (200);a providing unit (110) for providing the particle beam (112) c...  
WO/2022/058218A1
The present application relates to methods and apparatus for delivering liquid or solid feedstocks into a plasma treatment vessel. More specifically, the invention provides a method for treating a sample using glow discharge plasma in an...  
WO/2022/058253A2
A multi-beam electron-optical system for a charged-particle assessment tool, the system comprising: a plurality of control lenses, a plurality of objective lenses and a controller. The plurality of control lenses are configured to contro...  
WO/2022/058014A1
A cathode assembly (110) for sputter deposition is provided. The cathode assembly includes a first cathode drive unit (113) configured to rotate a first rotatable cathode (111), a second cathode drive unit (114) adjacent to the first cat...  
WO/2022/057977A1
The present invention relates to a workpiece holder (1) for a plasma-enhanced chemical vapour deposition system (50), to a system (50) of this kind, and to an operating method (100) for a system (50) of this kind. The workpiece holder (1...  
WO/2022/060562A1
Methods, systems, apparatuses, and computer programs are presented for controlling plasma discharge uniformity using magnetic fields. A substrate processing apparatus includes a vacuum chamber with a processing zone for processing a subs...  
WO/2022/060921A1
Semiconductor processing systems are described to measure levels of atomic oxygen using an atomic oxygen sensor positioned within a substrate processing region of a substrate processing chamber. The processing systems may include a semic...  
WO/2022/060688A1
A gas distribution assembly for a processing chamber in a substrate processing system includes a gas plate including a plurality of holes configured to supply a gas mixture into an interior of the processing chamber and a carrier ring co...  

Matches 1,501 - 1,550 out of 53,137