Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 1,651 - 1,700 out of 53,198

Document Document Title
WO/2022/038904A1
[Solution] Provided is a focused ion beam device comprising: a differential exhaust device and a focused ion beam column, and further comprising a vacuum pad made of a porous material that exposes a suction surface so as to surround the ...  
WO/2022/038080A1
A load-lock system (300) includes a chamber (302) enclosing a supporting structure (308) configured to support a wafer (310); a gas vent (312) arranged at a ceiling (304) of the chamber (302) and configured to vent gas into the chamber (...  
WO/2022/039984A1
A system for estimating stress on a component of a processing chamber during a process includes a plurality of sensors configured to sense temperatures at a plurality of locations of the component during the process and a controller a co...  
WO/2022/038841A1
According to the present invention, one desired beam among multiple beams is quickly aligned with a small diameter aperture. This multi-electron beam inspection device comprises: a beam selection aperture substrate provided with a first ...  
WO/2022/039251A1
The purpose of the present invention is to provide a graphene grid which makes it possible to suppress or prevent the uneven distribution of a structure analysis target substance, i.e., a substance to be analyzed with respect to the stru...  
WO/2022/038866A1
The photoelectric surface electron source 1 is provided with: a glass substrate 40 which, upon receiving laser beams 101 incident from a substrate back surface 44, emits the laser beams 101 from a substrate main surface 43; a photoelectr...  
WO/2022/038867A1
A photoelectric-surface electron source 1 includes: a glass substrate 40 that receives laser light 101 from a substrate light-receiving surface 50a including microlenses 41 and that focuses the laser light 101 toward a substrate main sur...  
WO/2022/039311A1
The present invention relates to a method and a system for controlling a plasma process by using a smart sensor, the method comprising the steps of: correcting, by the smart sensor, spectral data by classifying the spectral data included...  
WO/2022/035300A1
This plasma generator comprises: a reactor main body having a gas inlet on one side; and a collector, connected to the other side of the reactor main body, having a collection space therein. The reactor main body and collector provide re...  
WO/2022/035565A1
In an embodiment, the a semiconductor processing tool is disclosed. In an embodiment, the semiconductor processing tool comprises a chamber, and a displaceable column that passes through a surface of the chamber. In an embodiment, the co...  
WO/2022/035629A1
A substrate support assembly for supporting a substrate includes a baseplate, a ceramic plate arranged on the baseplate, and N resistive heaters arranged in X rows and Y columns and coupled to the ceramic plate. X, Y, and N are integers ...  
WO/2022/033941A1
Disclosed herein is an actuator arrangement (70) comprising: a wall (504) defining a cavity (71); a casing (72) protruding from the wall (504) and defining an interior (73) in fluid communication with the cavity (71); an actuator (901) c...  
WO/2022/033757A1
The present invention relates to a slit diaphragm, to a slit diaphragm system comprising at least two slit diaphragms positioned adjacent to one another, and to a coating module or a coating unit having a slit diaphragm.  
WO/2022/036222A1
A surface treatment device includes a body and a plasma source disposed within the body. The plasma source includes a first inlet through the body and an ionization wave generator adjacent the first inlet to receive feedstock gas via the...  
WO/2022/032463A1
Disclosed in the present invention are a transmission electron microscope high-resolution in-situ fluid freezing chip and a preparation method therefor. A support layer, a freezing layer, an insulating layer, a hole and a central window ...  
WO/2022/035099A1
The present invention relates to a substrate treatment apparatus and a substrate treatment method, the apparatus comprising: a first chamber in which a first treatment process is performed on a substrate; a second chamber in which a seco...  
WO/2022/033717A1
The invention relates to a multiple particle beam system with a mirror mode of operation, a method for operating a multiple particle beam system with a mirror mode of operation and an associated computer program product. The multiple par...  
WO/2022/031467A1
Examples of the present technology include semiconductor processing methods that may include generating a plasma from a deposition precursor in a processing region of a semiconductor processing chamber. The plasma may be generated at a d...  
WO/2022/028633A1
A method of operation of a charged particle beam device, where the observed place on a sample moves within the field of view of the charged particle beam device as the sample is tilted or rotated. At least one sample image in a first sam...  
WO/2022/031854A1
A selected reject band non-RF-coupling tile includes a ground plate disposed on a first side of a printed circuit board. The selected reject band non-RF-coupling tile also includes a planar inductor disposed on a second side of the print...  
WO/2022/029262A1
A processing arrangement (10) comprising: a device (240) for providing a focused particle beam (242); a sample (300), which can be processed with the aid of the particle beam (242) and a process gas (PG); and a flushing plate (100) compr...  
WO/2022/031903A1
Embodiments disclosed herein are generally related to a system for noise reduction in low signal to noise ratio imaging conditions. A computing system obtains a set of images of a specimen. The set of images includes at least two images ...  
WO/2022/029315A1
Method for the particle beam-induced etching of a lithography mask (100), more particularly a non-transmissive EUV lithography mask, having the steps of:a) providing (S1) the lithography mask (100) in a process atmosphere (ATM),b) beamin...  
WO/2022/031614A1
Embodiments of the disclosure relate to articles, coated chamber components and methods of coating chamber components with a protective coating that includes at least one metal fluoride having a formula selected from the group consisting...  
WO/2022/031392A1
An ion implantation system, including an ion source and extraction system, arranged to generate an ion beam at a first energy, and a linear accelerator, disposed downstream of the ion source, the linear accelerator arranged to receive th...  
WO/2022/030064A1
A multi charged particle beam drawing device according to one aspect of the present invention is characterized by comprising: a beam formation mechanism that forms a multi charged particle beam; a block region generation circuit that gen...  
WO/2022/025655A1
A nozzle for deposition of powder aerosol for coating is disclosed. The nozzle for deposition of powder aerosol for coating comprises: an entrance part through which carrier gas and the powder aerosol for coating are injected, and a disc...  
WO/2022/022993A1
A method (100) for determining a three-dimensional atomic distribution of a sample (201) having a tip, during an atom probe tomography process. The method accounts for the tip not being axial symmetric and not having a hemispherical shap...  
WO/2022/026813A1
A thin shadow ring for a substrate processing system includes an annular body having an inner diameter and an outer diameter. The inner diameter and the outer diameter define a cross-sectional width of the annular body between the inner ...  
WO/2022/023695A1
There is provided an electron beam welding apparatus (10) comprising socket means (40) formed with a first channel (38) configured to receive a high-voltage supply member, wherein the socket means further comprises a tubular plug (42) ha...  
WO/2022/026363A1
Embodiments of deposition rings for use in a process chamber are provided herein. In some embodiments, a deposition ring includes: an annular body; an inner wall extending upward from an inner portion of the annular body; and an outer wa...  
WO/2022/026797A1
A power generator includes a plurality of amplifier blocks and a combiner. Each of the amplifier blocks include one or more amplifiers, and the combiner combines modulated power signals output from the amplifier blocks to generate an RF ...  
WO/2022/026133A1
A method for providing a component for using in a plasma processing chamber is provided, wherein the component has a plasma facing surface. A metal oxide layer is provided on the plasma facing surface of the component. The metal oxide la...  
WO/2022/026653A1
An apparatus leverages a physical vapor deposition (PVD) process chamber with a wafer-to-target distance of approximately 400 millimeters to deposit tantalum film on through silicon via (TSV) structures. The PVD process chamber includes ...  
WO/2022/025959A1
Embodiments of the present disclosure generally relate to the fabrication of integrated circuits and to apparatus for use within a substrate processing chamber to improve film thickness uniformity. More specifically, the embodiments of t...  
WO/2022/023304A1
Systems and methods of observing a sample using a charged-particle beam apparatus in voltage contrast mode are disclosed. The charged-particle beam apparatus comprises a charged- particle source, an optical source, a charged-particle det...  
WO/2022/023232A1
A charged particle beam apparatus for inspecting a sample is provided. The apparatus includes a pixelized electron detector to receive signal electrons generated in response to an incidence of an emitted charged particle beam onto the sa...  
WO/2022/026127A1
Embodiments of the disclosure provided herein include an apparatus and method for the plasma processing of a substrate in a processing chamber. More specifically, embodiments of this disclosure describe a biasing scheme that is configure...  
WO/2022/022819A1
A method of imaging a sample with a charged particle beam device, comprising: determining a first focusing strength of an objective lens of the charged particle beam device, the first focusing strength being adapted to focus a charged pa...  
WO/2022/026130A1
A beamline ion implanter and a method of operating a beamline ion implanter. A method may include performing an ion implantation procedure during a first time period on a first set of substrates, in a process chamber of the ion implanter...  
WO/2022/024555A1
A processing apparatus comprising a substrate support stage on which a substrate to be processed is mounted, and a head part that can move relative to a surface to be processed of the substrate to be processed, and further comprising a d...  
WO/2022/020926A1
The present invention is essentially a system comprising: a geometrically configured parabolic mirror (1), at least one convergent lens (2), a precise positioning handle (3), at least one optical fibre (4), at least one optical spectrome...  
WO/2022/026097A1
The present disclosure describes material surface treatment systems and methods that employ a byproduct treatment system to receive a byproduct generated by application of a plasma, the byproduct treatment system configured to degrade th...  
WO/2022/023422A1
Pulsing assembly (3) and method for delivering power to a plasma reactor (2) with a first load (8) between a first plasma reactor input port (20) and a plasma reactor common port (10) and with a second load (9) between a second plasma re...  
WO/2022/023094A1
A gas ion gun comprising an anode electrode (16) to remove electrons from a gas in order to create gas ions. The anode electrode comprising a wire (20) made of an electrical conductive material or of a semiconductor material, the wire ex...  
WO/2022/020113A1
Exemplary semiconductor processing systems may include a pumping system, a chamber body that defines a processing region, and a pumping liner disposed within the processing region. The pumping liner may define an annular member character...  
WO/2022/020639A1
Additively manufactured showerheads for semiconductor processing operations are disclosed that may have various features enabled by the use of such manufacturing techniques. In some implementations, such showerheads may have multiple ind...  
WO/2022/020185A1
Exemplary semiconductor processing systems may include a processing chamber including a lid stack having an output manifold. The systems may include a gas panel. The systems may include an input manifold. The input manifold may fluidly c...  
WO/2022/018641A1
Method for investigating samples by time-series emission of cathodoluminescence (CL) microscope having electron beam and light sensor. In discovery scan, changes caused by the electron beam are unknown, in an inspection scan changes have...  
WO/2022/020334A1
Methods and apparatus for lift pin interfaces for electrostatic chucks are provided herein. In some embodiments, a lift pin interface in an electrostatic chuck includes: a dielectric plate having a support surface for a substrate; a cond...  

Matches 1,651 - 1,700 out of 53,198