Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 701 - 750 out of 53,157

Document Document Title
WO/2023/096705A1
A method and apparatus for performing post-exposure bake cooling operations is described herein. The method begins by post exposure baking a substrate disposed on heated substrate support in a process chamber, the process chamber having ...  
WO/2023/022878A9
A plasma-generation system is provided that includes a variable-frequency microwave generator configured to generate microwave power and a plasma applicator configured to use the microwave power from the microwave generator to (i) ignite...  
WO/2023/094088A1
Disclosed herein is a platform for a charged particle apparatus, the platform comprising: a base frame; a chamber arranged to comprise a substrate; a metrology frame arranged to support a charged particle beam generator for irradiating a...  
WO/2023/094359A1
The present invention relates to methods and apparatuses for examining and/or processing a lithographic object, in particular a photomask, with a beam of charged particles in a working region on the object. In addition, the present inven...  
WO/2023/097193A1
Systems and methods for redirecting cleaning chemistry flows within a multi-station semiconductor processing chamber are disclosed. In such systems, a cleaning chemistry flow, e.g., a plasma from a remote plasma generator, may be directe...  
WO/2023/096729A1
Embodiments provided herein generally include apparatus, plasma processing systems and methods for controlling ion energy distribution in a processing chamber. One embodiment of the present disclosure is directed to a method for plasma p...  
WO/2023/093564A1
Provided in the present invention are an electrostatic tray and a base. The electrostatic tray comprises a tray body, a voltage feed-in component and a plurality of adhesion components, wherein the plurality of adhesion components are ar...  
WO/2023/096023A1
The present invention provides an apparatus for processing a substrate. The apparatus for processing a substrate comprises: a chamber defining a processing space; a gas supply unit for supplying a processing gas to the processing space; ...  
WO/2023/096817A1
A showerhead for processing a substrate comprises a backplate and a faceplate attached to the backplate. The faceplate comprises a first surface facing the backplate, a second surface opposite to the first surface, and a plurality of thr...  
WO/2023/096719A1
Electrostatic chucks (ESCs) for plasma processing chambers, and methods of fabricating ESCs, are described. In an example, a substrate support assembly includes a cooling bottom plate, a ceramic top plate, and a bond layer between the ce...  
WO/2023/094362A1
The present invention relates to a method and an apparatus for calibrating an operation on a mask. A method for producing correction marks on an object for lithography, in particular for calibrating an operation, using a particle beam in...  
WO/2023/094098A1
Systems and methods of inspecting a sample using a charged-particle beam apparatus with enhanced probe current and high current density of the primary charged-particle beam are disclosed. The apparatus includes a charged-particle source,...  
WO/2023/096730A1
Embodiments of the present disclosure generally include an apparatus and methods for measuring and controlling in real-time a potential formed on a substrate in a plasma processing chamber during plasma processing. Embodiments of the dis...  
WO/2023/094113A1
Systems and structures for venting and flow conditioning operations in charged particle beam systems. In some embodiments, a system may include a chamber configured to provide a vacuum environment; a vent valve; and a mass flow controlle...  
WO/2023/096925A1
The present disclosure is directed to a showerhead for distributing plasma. The showerhead includes a perforated tile coupled to a support structure. A dielectric window is disposed over the perforated tile. An electrode is coupled to th...  
WO/2023/094795A1
There is provided an electron beam deflector (32) for use in electron beam welding, the deflector (32) comprising a planar body (32) defining at least one channel (36) enabling passage of an electron beam (14) to a weld site, wherein at ...  
WO/2023/091268A1
A plasma treatment chamber comprises one or more sidewalls. A support surface within the one or more sidewalls holds a workpiece. A first gas injector along the one or more sidewalls injects a first gas flow in a first direction generall...  
WO/2023/089923A1
This blanking aperture array system includes a data output circuit for outputting first data and a first error detection code generated from the first data. A shift register transfers the first data and the first error detection code inp...  
WO/2023/090685A1
The present invention provides an apparatus for treating a substrate. The apparatus for treating a substrate may comprise: a process treatment part which provides a treatment space where a substrate is treated; and a plasma source which ...  
WO/2023/091196A1
Embodiments of substrate supports for use in substrate processing chambers are provided herein. In some embodiments, a substrate support includes: an upper assembly having a base plate assembly coupled to a lower surface of a cooling pla...  
WO/2023/091629A2
Chemical Vapor Deposition (CVD) systems with DC, RF, IF (intermediate frequency) and/or microwave plasma have processing chambers incorporate structure to provide improved temperature control, uniform distribution of the process gas, and...  
WO/2023/090082A1
[Problem] To provide a drawing device control method and a drawing device which enable suppression of the influence of scattered beams on a drawing process. [Solution] A drawing device according to an embodiment of the present invention ...  
WO/2023/090835A1
A plasma sputtering apparatus is disclosed. The plasma sputtering apparatus comprises: a target having a cylindrical shape and provided to be rotatable; a bar magnet arranged to correspond to the base of an imaginary isosceles triangle i...  
WO/2023/091192A1
Apparatus for processing a substrate are described herein, More specifically, embodiments described herein relate to separate pre-clean process modules and pre-clean control modules coupled to a cluster tool assembly. Each pre-clean proc...  
WO/2023/091031A1
Apparatus (1) for generating condensed plasmoids. The apparatus (1) includes a reactor (4) with a chamber (15) for containing a reactant gas. A cathode (17) and an anode (18) extend into the chamber (15) with an interelectrode gap formed...  
WO/2023/091330A1
A composite includes a substrate and a target material, wherein the target material includes indium oxide (In2O3), tin oxide (SnO2), and gallium oxide (Ga2O3), and a method for making the same. The method includes positioning the substra...  
WO/2023/088623A1
Apparatuses, systems, and methods for providing beams for defect detection and defect location identification associated with a sample of charged particle beam systems. In some embodiments, a method may include obtaining an image of a sa...  
WO/2023/088839A1
In the imaging by means of a scanning electronic microscope, first a sample to be imaged is positioned (27) in a vacuum chamber of the scanning electronic microscope such that an image field of the scanning electron microscope comes to l...  
WO/2023/092135A1
Improved edge rings with flow conductance features are disclosed. The flow conductance features of the edge ring are features added to the edge ring that adjust the flow conductance of gas flowing in the local area of the edge ring. The ...  
WO/2023/091267A1
A Bernas ion source having a shield is disclosed. The shield is disposed between the distal portion of the filament and the first end of the chamber and serves to confine the plasma to the region between the shield and the second end of ...  
WO/2023/084772A1
This charged particle beam device, which is for processing a sample, comprises: a charged particle beam–emitting optical system that emits a charged particle beam; a sample stage that holds a sample; a drive mechanism that drives the s...  
WO/2023/083619A1
E37842WO AS/EF/kr 28 ABSTRACT METHOD FOR MEASURING A SAMPLE AND MICROSCOPE IMPLEMENTING THE METHOD 5 The present invention relates to a method for measuring a sample with a microscope, the method comprising scanning the sample using a fo...  
WO/2023/083560A1
Disclosed herein is a non-transitory computer readable medium that has stored therein a computer program, wherein the computer program comprises code that, when executed by a computer system, instructs the computer system to perform a me...  
WO/2023/083574A1
Systems with valves that increase cycle life and reduce particle generation. Embodiments may include a first movable member (413), a second movable member (411), and a third movable member (415); a first link (412_1, 412_2) coupled to th...  
WO/2023/085662A1
The present invention comprises: a support plate having an upper space, on which a substrate is placed, and an inner space; a lift pin assembly which transfers the substrate between an external transport unit and the support plate; and a...  
WO/2023/086731A1
Systems and methods for material processing using wafer scale waves of precisely controlled electrons in a DC plasma is presented. The anode and cathode of a DC plasma chamber are respectively connected to an adjustable DC voltage source...  
WO/2023/083573A1
Systems, apparatuses, and methods for reducing vibration of a chamber may include obtaining predefined motion data associated with a transferring device stiffly coupled to a chamber; determining movement of the transferring device based ...  
WO/2023/083545A1
The present invention provides a charged particle assessment system for projecting a beam of charged particles towards a sample. The system comprises a sample holder configured to hold a sample; a charged particle optical system configur...  
WO/2023/084773A1
This charged particle beam device, which is for etching a sample, comprises: a charged particle beam–emitting optical system that emits a charged particle beam; a sample stage that holds a sample; a drive mechanism that drives the samp...  
WO/2023/085133A1
[Problem] To provide an electron gun capable of forming a larger number of electron beams than the number of lenses of a multi-lens array, an electron beam application device, and a method for forming a multi-electron beam. The problem...  
WO/2023/086165A1
An apparatus for processing a substrate is provided. A capacitively coupled plasma electrode is within a capacitively coupled plasma processing chamber. A plasma confinement component is within the capacitively coupled plasma processing ...  
WO/2023/086395A1
An apparatus for feedback control in plasma processing systems using radical sensing, and a method for feedback control in plasma processing systems using radical sensing, the apparatus comprising at least one process gas supply system c...  
WO/2023/081882A1
A method and apparatus to shield various components (e.g., a gasket, a sensor, a wire, a mechanical component made of metals and/or polymers) deployed in a vacuum environment using a shield assembly formed from multiple ceramic fibers. T...  
WO/2023/080368A1
The present invention relates to a showerhead and a substrate processing apparatus including same. A gas spray hole for spraying a reaction gas onto a substrate is formed at an angle in the showerhead so that the distance, that is, the p...  
WO/2023/080324A1
The present invention provides a substrate processing apparatus. The substrate processing apparatus comprises: a housing having an inner space; a lower electrode unit supporting a substrate in the inner space; an upper electrode unit fac...  
WO/2023/078620A2  
WO/2023/079018A1
The present invention pertains to a process for direct deposition of graphene or graphene oxide onto a substrate of interest from a gaseous source of at least one carbon precursor, using a plasma-enhanced chemical vapor deposition method...  
WO/2023/078628A1
An improved method of wafer inspection is disclosed. The improved method includes a non- transitory computer-readable medium storing a set of instructions that are executable by at least one processor of a device to cause the device to p...  
WO/2023/081584A1
One example provides a fabrication tool comprising a chamber, a pedestal electrode and a showerhead electrode arranged in the chamber, one or more gas inlets into the chamber, a vacuum pump system, a radiofrequency (RF) power source conf...  
WO/2023/080549A1
The present invention provides a substrate-processing apparatus. The substrate-processing apparatus comprises: a housing having a processing space for processing a substrate; a support unit for supporting the substrate in the processing ...  

Matches 701 - 750 out of 53,157