Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 801 - 850 out of 53,188

Document Document Title
WO/2023/076093A1
A cooling system for a power circuit of a substrate processing tool is provided. The cooling system includes a microchannel assembly and a printed circuit board. The microchannel assembly includes an input manifold, an output manifold, a...  
WO/2023/067681A1
The present invention provides a charged particle beam device which makes it possible to precisely manage the temperature when a cold field emission electron source is heated. Provided is a charged particle beam device comprising: a cold...  
WO/2023/069654A1
A system for etching a wafer is provided. In one example, the system includes a lower electrode and an upper electrode disposed above the lower electrode. The system further includes an edge ring surrounding the lower electrode. The syst...  
WO/2023/069156A1
Methods and apparatus for processing a substrate are provided herein. For example, a processing chamber for processing a substrate comprises a sputtering target, a chamber wall at least partially defining an inner volume within the proce...  
WO/2023/069230A1
An adapter for a deposition chamber includes an adapter body extending longitudinally about a central axis between an upper side and lower side opposite the upper side. The adapter body has a central opening about the central axis. The a...  
WO/2023/069633A1
Embodiments herein provide plasma processing chambers and methods configured for fine-tuning and control over a plasma sheath formed during the plasma- assisted processing of a semiconductor substrate. Embodiments include a sheath tuning...  
WO/2023/069158A1
A plasma vapor deposition (PVD) chamber used for depositing material includes an apparatus for influencing ion trajectories during deposition on a substrate. The apparatus includes at least one annular support assembly configured to be e...  
WO/2023/069120A1
In semiconductor processing, plasma etching of materials (e.g., of carbon or silicon) to form vertical high aspect ratio recessed features can lead to clogging inside the recessed features due to unwanted deposition of a mask-derived clo...  
WO/2023/069309A1
Exemplary substrate processing systems may include a lid plate. The systems may include a gas splitter seated on the lid plate. The gas splitter may include a top surface and side surfaces. The gas splitter may define a first and second ...  
WO/2023/068692A1
A plasma substrate treatment apparatus according to one embodiment of the present invention comprises: a remote plasma generator for generating plasma and an active species; an upper chamber having an opening connected to an output port ...  
WO/2023/069197A1
An exciter for a high frequency resonator. The exciter may include an exciter coil inner portion, extending along an exciter axis, an exciter coil loop, disposed at a distal end of the exciter coil inner portion. The exciter may also inc...  
WO/2023/066595A1
The present application discloses detector assembly for a charged particle assessment apparatus, the detector assembly comprising a plurality of electrode elements, each electrode element having a major surface configured to be exposed t...  
WO/2023/069211A1
A method for increasing a rate of processing a substrate to achieve an etch profile of the substrate is described. The method includes receiving a parameter signal from a first sensor when a first radio frequency (RF) signal is provided ...  
WO/2023/069190A1
Apparatus and methods for improving film uniformity in a physical vapor deposition (PVD) process are provided herein. In some embodiments, a PVD chamber includes a pedestal disposed within a processing region of the PVD chamber, the pede...  
WO/2023/069229A1
Embodiments herein are directed to a linear accelerator assembly for an ion implanter, wherein the linear accelerator includes a jacketed resonator coil. In some embodiments, a linear accelerator assembly may include a first fluid condui...  
WO/2023/069489A1
Systems, methods, and computer-readable storage media for simulating a non-homogenous space environment. A system can include a ion beam generator, a moderator block, a radiation detector, at least one processor which can execute operati...  
WO/2023/068698A1
A plasma substrate processing apparatus according to one embodiment of the present invention comprises: a process chamber; an upper electrode disposed in the process chamber; a substrate holder disposed under the upper electrode and faci...  
WO/2023/069922A1
Disclosed are various systems that allow for plasma delivery from a central location in a multi-station processing chamber to be redirected to different processing stations within the chamber. Such systems may include a deflector plate t...  
WO/2023/068218A1
This electron beam application device includes: a light source; a photocathode; an anode; detectors; and a control unit. The number of excitation light beams received by the photocathode is two or more. The excitation light beams are eac...  
WO/2023/066510A1
The invention relates to a method for forming a coating on a substrate with the help of a coating device and a device for providing non-reactive ions characterized in that a negative bias is applied to the substrate for effecting an ion ...  
WO/2023/068696A1
A substrate treatment apparatus, according to one embodiment of the present invention, comprises: a remote plasma generator for generating remote plasma and an active species; an upper chamber having an opening connected to an output por...  
WO/2023/061651A1
A method of determining aberrations of a charged particle beam (11) focused by a focusing lens (120) toward a sample (10) in a charged particle beam system is described. The method includes: (a) taking one or more images of the sample at...  
WO/2023/064376A1
A methane purification system includes one or more components that cool and compress an input methane-containing gaseous mixture stream to form a first methane-containing gaseous mixture stream. A filter-separator in fluid communication ...  
WO/2023/064720A1
Disclosed herein are systems and apparatuses for facilitating semiconductor processing operations involving the use of chlorine-containing and ammonia-containing gases. The systems and apparatuses discussed herein may provide enhanced wa...  
WO/2023/061688A1
Some embodiments are related to a method of or apparatus for forming an image of a buried structure that includes: emitting primary charged particles from a source; receiving a plurality of secondary charged particles from a sample; and ...  
WO/2023/064031A1
A method for plasma processing includes: sustaining a plasma in a plasma processing chamber, the plasma processing chamber including a first radio frequency (RF) electrode and a second RF electrode, where sustaining the plasma includes: ...  
WO/2023/061519A1
The subject of the invention is a method of plasma diagnostics, in which an alternating voltage is applied to a reference electrode (6) with a measurable area AAP located in a plasma discharge chamber (1) and a first set of electric curr...  
WO/2023/064048A1
An extraction assembly may include an extraction plate for placement along a side of a plasma chamber, and having an extraction aperture, elongated along a first direction, and having an aperture height, extending along a second directio...  
WO/2023/061650A1
A method of determining a beam convergence of a charged particle beam (11) focused by a focusing lens (120) toward a sample H O) in a charged particle beam system ( 100) is provided. The 'method includes (a) taking one or more images of ...  
WO/2023/064012A1
An impedance matching network including a mixing module. The mixing module receives a plurality admittances based upon at least one parameter sensed from an output which generated by an RF generator. The output signal is a pulsed RF sign...  
WO/2023/064299A1
A substrate support assembly includes a shaft body, a plate attached to an upper portion of the shaft body, and a plug or cap attached to a lower portion of the shaft body. The shaft body, the plate and the plug or cap define an internal...  
WO/2023/057771A2
A method of simulating an electron microscopy image of a sample is described. The method implemented by a computer comprising a processor and a memory. The method comprises: obtaining parameters of the electron microscopy, attributes of ...  
WO/2023/058291A1
An ion generation apparatus 10 comprises: an arc chamber 50; a magnetic field generator 52 that generates a magnetic field B to be applied in the axial direction in an internal space S of the arc chamber 50; a first cathode cap 72 that p...  
WO/2023/059436A1
Embodiments disclosed herein include a method of etching a 3D structure. In an embodiment, the method comprises providing the 3D structure in a microwave plasma chamber. In an embodiment, the 3D structure comprises a substrate, and alter...  
WO/2023/059502A1
Described herein is a chamber component including a metal layer comprising nickel and a barrier layer of nickel oxide over the metal layer. The barrier layer of nickel oxide may be formed by treating the chamber component with an oxidizi...  
WO/2023/034688A9
A method of real time optimization of a microwave plasma includes adjusting in real time an injection angle of a swirl gas flow of the microwave plasma, the magnitude of the swirl gas flow of the microwave plasma, or the microwave power ...  
WO/2023/059588A1
A method of etching a metal includes performing at least two cycles of an etch process. A cycle of the etch process includes: performing a surface modification on an exposed surface of a metal layer over a substrate, performing a hydroge...  
WO/2023/058676A1
Provided is a graphene grid that is capable of, for example in a structural analysis or the like using a cryogenic electron microscope, reducing or preventing occurrence of uneven orientation, uneven distribution, and the like of a struc...  
WO/2023/059493A1
This disclosure provides systems, methods, and apparatus related to electron microscopy sample preparation. In one aspect, a method includes providing an electron microscopy grid. An aqueous suspension including an electron microscopy sa...  
WO/2023/058290A1
A multi-charged particle beam drawing apparatus (100) according to one aspect of the present invention comprises: a distribution ratio calculation circuit (58) that calculates, for each design grid and each combination of a plurality of ...  
WO/2023/059376A1
A substrate support assembly and processing chamber having the same are disclosed herein. In one embodiment, a substrate support assembly is provided that includes a body. The body has a center, an outer perimeter connecting a substrate ...  
WO/2023/059405A1
Apparatus and methods for flipping substrates in vacuum between PVD sputtering of each side for increasing throughput are provided herein. In some embodiments disclosed herein, a module of a processing system for flipping a substrate in ...  
WO/2023/059686A1
Methods and systems for detecting charged particles from a specimen are provided. One system includes a first repelling mesh configured to repel charged particles from a specimen having an energy lower than a first predetermined energy a...  
WO/2023/059990A1
A method for operating a plasma chamber to increase ion energy and decrease angular spread of ions during an etch operation is described. Method includes placing a substrate on an electrostatic chuck within the plasma chamber, wherein th...  
WO/2023/057520A1
A dual-beam device, such as, a scanning electron microscope combined with a focused-ion beam milling column, is employed for a slice-in-image process. Based on one or more images of at least one cross-section of a test volume of a wafer,...  
WO/2023/053187A1
This machining method includes: a machining step of irradiating a sample constituted from a stack of multiple layers with a focused ion beam so as to machine a cross-section of the sample by a predetermined amount; an image generation st...  
WO/2023/051598A1
Disclosed in the embodiments of the present application are a semiconductor process device and an impedance matching method therefor, which are used to solve the problem of relatively low impedance matching efficiency in existing semicon...  
WO/2023/055953A1
A flow guide apparatus includes an upper flow guide structure configured to receive a first gas from a remote source, and a lower flow guide structure attached to the upper flow guide structure. The upper flow guide structure and the low...  
WO/2023/055567A1
An electron-beam device includes upper-column electron optics and lower-column electron optics. The upper-column electron optics include an aperture array to divide an electron beam into a plurality of electron beamlets. The upper-column...  
WO/2023/056133A1
A method of processing a substrate that includes: flowing a first unsaturated fluorocarbon, a saturated fluorocarbon, a first noble gas, and dioxygen into a plasma chamber; while flowing these gases, generating a plasma in the plasma cha...  

Matches 801 - 850 out of 53,188