Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 1,251 - 1,300 out of 53,253

Document Document Title
WO/2022/221611A1
Embodiments of a lift apparatus for use in a substrate processing chamber are provided herein. In some embodiments, a lift apparatus includes: a plurality of first lift pin assemblies configured to raise or lower a substrate having a giv...  
WO/2022/217501A1
The present application provides an etching device for a semiconductor element, comprising a cover body, a supporting body, a quartz tube, and a lifting and lowering device. The cover body is provided with a gas inlet, a plurality of gas...  
WO/2022/219790A1
Provided is a charged particle beam apparatus capable of realizing a highly reliable insulating structure. This charged particle beam apparatus emits a charged particle beam from a charged particle beam emission device onto a sample, det...  
WO/2022/219699A1
The objective of the present invention is to enable image observation with high magnification and high resolution by alleviating the impact of phase contrast with respect to an observation image in a transmission electron microscope. A t...  
WO/2022/216063A1
Disclosed is a method for pre-detecting a defective product from a porous polymer substrate for a separator, the method comprising the steps of: selecting a porous polymer substrate having a plurality of pores to detect whether it is a g...  
WO/2022/216105A1
A substrate processing method according to an embodiment of the present invention comprises: a preparation step of mounting a substrate on a support inside a chamber; a first cleaning step including a step of removing a natural oxide fil...  
WO/2022/215212A1
A charged particle beam device (1) comprises: an analyzing means that is executed by a controller when a sample holder (HL) holding a sample (SAM) is placed on a stage (23). The analyzing means includes: (a) a step for opening a gate val...  
WO/2022/216970A1
Disclosed herein are methods and systems of metadata management for reviewing data from microscopy experimental sessions. Image data from an experimental session is stored in an archive at one or more filepath locations, either locally o...  
WO/2022/216419A1
Systems and methods for controlling a plasma sheath characteristic are described. One of the methods includes determining a first value of the plasma sheath characteristic of a plasma sheath formed within a plasma chamber. The method fur...  
WO/2022/216649A1
In one embodiment, an RF impedance matching circuit is disclosed. The matching circuit includes a series electronically variable capacitor (EVC) having first fixed capacitors. Each of the first fixed capacitors has a corresponding switch...  
WO/2022/207222A1
An improved method of performing a self-diagnosis of a charged particle inspection system is disclosed. An improved method comprises triggering a self-diagnosis based on output data of the charged particle inspection system; in response ...  
WO/2022/211910A1
An ion implanter may include an ion source, arranged to generate a continuous ion beam, a DC acceleration system, to accelerate the continuous ion beam, as well as an AC linear accelerator to receive the continuous ion beam and to output...  
WO/2022/207254A1
Systems and methods of enhancing imaging resolution by reducing crosstalk between detection elements of a secondary charged-particle detector in a multi-beam apparatus are disclosed. The multi-beam apparatus may comprise an electro-optic...  
WO/2022/210288A1
This plasma generating device comprises: a chamber that generates plasma therein; a plurality of magnets that wrap around the circumference of the chamber; and a magnet retaining part that retains the plurality of magnets. The magnet ret...  
WO/2022/209440A1
An electron beam modulation device according to the present disclosure comprises: a light source that emits laser light; a polarization conversion unit on which a modulation surface is formed for modulating the direction and intensity of...  
WO/2022/208774A1
The present invention improves the performance of a sample holder that can be filled with a liquid sample. A sample holder (200) has an upper chip (300) that is arranged on an electron beam irradiation side and a lower chip (400) that is...  
WO/2022/209936A1
The present method: determines a reference area within the surface of a workpiece; calculates a pattern density of the reference area from design data of a pattern in the reference area; determines a plurality of adjustment areas with pa...  
WO/2022/211915A1
A generator produces output such as delivered power, voltage, current, forward power etc. that follows a prescribed pattern of output versus time where the pattern repeats with a repetition period by controlling sections of the pattern b...  
WO/2022/211816A1
A process kit is provided that includes a susceptor having a rectangular body comprising a perimeter that includes a first major side opposite to a second major side, and a first minor side opposite to a second minor side, wherein each o...  
WO/2022/207265A1
Electron-optical systems comprising a particle trap and methods of operating electron-optical systems using a particle trap are disclosed. In one arrangement, a stage supports a sample. An objective lens arrangement projects electrons to...  
WO/2022/212738A1
A photolithography system includes an optical assembly for delivering a collimated light beam with spatially uniform light across the collimated light beam to a substrate on a substrate mount. A multi-axis support assembly provides for a...  
WO/2022/212202A1
In one example, a method for depositing a film on a substrate comprises arranging a substrate on a substrate support in a processing chamber and setting a processing pressure, temperature and pressure in the chamber. The method includes ...  
WO/2022/209517A1
The problem to be addressed by the present invention is to provide a multi-beam charged particle beam drawing device with which the time to measure a beam position can be shortened. This multi-beam charged particle beam drawing device ...  
WO/2022/205494A1
The present application relates to a semiconductor etching device. The semiconductor etching device comprises: an etching machine, the etching machine comprising a reaction member and a driving member, and the driving member being detach...  
WO/2022/207396A1
The present invention relates to a device for plasma generation in a wide pressure range. The device comprises a first plasma source (1) in a first discharge chamber (2) in order to generate a first plasma in a low-pressure range, a seco...  
WO/2022/207397A1
A vacuum feedthrough (10) which is constructed in radial layers comprises the following elements (from inwards to outwards): - a lens element (11), - a first ring (12) made of glass, - a first hollow cylinder (13) made of a first dielect...  
WO/2022/208572A1
Provided is an inspection system capable of highly precise estimation of the electrical characteristics of a sample regardless of the initial charge state of a wafer. This inspection system for inspecting the electrical characteristics o...  
WO/2022/211220A1
The present invention provides a device for processing a substrate. The device for processing a substrate may comprise: a chamber having a processing space; a support unit which supports a substrate in the processing space; a gas supply ...  
WO/2022/206381A1
The present disclosure provides an upper electrode power supply power adjustment method for a semiconductor process device, comprising: acquiring a process load of a reference process chamber corresponding to a semiconductor process step...  
WO/2022/207236A1
Apparatuses, systems, and methods for determining local focus points (LFPs) on a sample are provided. In some embodiments, a controller including circuitry may be configured to cause a system to perform selecting a first plurality of res...  
WO/2022/210067A1
The present invention relates to an autofocusing technology for a scanning electron microscope, and more particularly, to a technology for shortening the time needed for autofocusing. Provided is a method in which images of a pattern for...  
WO/2022/198251A1
The invention relates to a method for providing elemental substances, comprising at least the following steps: - generating a plasma, said plasma comprising at least one molecular component; - guiding the plasma in a plasma chamber (4); ...  
WO/2022/202586A1
The present invention provides a 3D image observation device and a method therefor that, with respect to a sample or other subject of observation, easily and with high precision determine mutual positional relationships in diffraction pa...  
WO/2022/203919A1
Exemplary substrate processing systems may include a chamber body defining a transfer region. The systems may include a first lid plate seated on the chamber body. The first lid plate may define a plurality of apertures through the first...  
WO/2022/200694A1
The present invention relates to a dihydrogen production unit (100), comprising at least one hydrocarbon feeding device (9) and at least one reactor (5) for plasmalysis of the hydrocarbon by microwave plasmas. The reactor (5) comprises a...  
WO/2022/199721A1
An electron microscope, which includes: a sample table (5) configured to place a sample to be detected (4); an electron optical column (1) configured to emit an electron beam (2), and converge the electron beam (2) onto the sample to be ...  
WO/2022/051119A9
A method of evaluating a region of a sample that includes a first sub-region and a second sub-region, adjacent to the first sub-region, the region comprising a plurality of sets of vertically-stacked double-layers extending through both ...  
WO/2022/204001A1
A plasma processing system used for reactive sputtering may include multiple dual magnetron sputtering (DMS) components. Each DMS component may include a power supply coupled with two electrodes that switch between operation as a cathode...  
WO/2022/204383A1
A method of plasma processing includes generating a glow phase of an electropositive plasma in a plasma processing chamber containing a first species, a second species, and a substrate comprising a major surface and generating an electro...  
WO/2022/201522A1
The purpose of the present disclosure is to provide a charged particle beam system capable of obtaining information about a sample by using feature values in an observed image attributable to interference of light, diffraction of light, ...  
WO/2022/198555A1
The present invention relates to an electron beam imaging system using a hybrid magnetic focusing lens. In the system, a photocathode, an anode grid, a gated microchannel plate, and a CCD photographing module are sequentially and coaxial...  
WO/2022/203946A1
Exemplary semiconductor processing systems may include an output manifold that defines at least one plasma outlet. The systems may include a gasbox disposed beneath the output manifold. The gasbox may include an inlet side facing the out...  
WO/2022/203767A1
Methods and apparatus for processing a substrate are provided herein. For example, a method includes supplying a vaporized precursor into a processing volume, supplying activated elements including ions and radicals from a remote plasma ...  
WO/2022/203796A1
Enhanced oxidation with hydrogen radical pretreatment is described. In an example, a method of oxidizing a substrate includes positioning a substrate in a processing volume of a processing chamber, generating hydrogen radicals using a re...  
WO/2022/203566A1
A charged particle spectrometer (100) and a method for calibration of a multichannel particle detector (4) in a charged particle spectrometer (100) are described. The charged particle spectrometer (100) comprises a deflection analyser (1...  
WO/2022/204181A1
A component constructed by an additive manufacturing process includes an assembly body formed during the additive manufacturing process using a first type of powdered material. Hie component further includes a plurality of thermal manage...  
WO/2022/194448A1
Disclosed herein is a method of reducing a sample charging effect in a scanning electron microscope (SEM) image, the method comprising: obtaining a first SEM image from a first electron beam scan with a parameter being a first quantity; ...  
WO/2022/196677A1
Provided is an imaging dielectric spectroscopic technology for obtaining mapping of a dielectric property in a specimen using electron beam holography. An interference image formed by an interference optical system is stroboscopically ca...  
WO/2022/197796A1
Described are substrates that include high aspect ratio surfaces and non-high aspect ratio surfaces, at least two coatings, one coating at high aspect ratio surfaces and a second coating at non-high aspect ratio surfaces, and having fluo...  
WO/2022/194377A1
A method of depositing at least one material on a substrate is described. The method includes a first deposition including: sputtering from a first and a second rotary target through an aperture, the aperture being adjustable and having ...  

Matches 1,251 - 1,300 out of 53,253